remove (ice-9 emacs)
[bpt/guile.git] / module / ice-9 / deprecated.scm
2010-07-16 Andy Wingoremove (ice-9 emacs)
2010-07-14 Andy Wingofix boostrapping error with srfi-1 (for the third time!)
2010-06-26 Andy Wingouse *repl-stack* instead of *repl-level*
2010-06-22 Andy Wingotop-repl out to its own module
2010-06-22 Andy Wingodeprecate named-module-use!, load-emacs-interface,...
2010-06-19 Andy Wingodeprecate the-last-stack
2010-06-19 Andy Wingodeprecate save-stack, stack-saved?
2010-06-19 Andy Wingohandle-system-error to scm-style-repl
2010-06-18 Andy Wingodefault-pre-unwind-handler to scm-style-repl
2010-06-18 Andy Wingofinish deprecating pre-unwind-handler-dispatch
2010-06-18 Andy Wingodeprecate `repl'
2010-06-18 Andy Wingobatch-mode? in terms of *repl-level*
2010-06-18 Andy Wingodeprecate set-repl-prompt!
2010-06-18 Andy Wingoassert-repl-* and associated state variables to scm...
2010-06-14 Andy Wingofix bug in collect
2010-06-11 Andy Wingodeprecate `collect'
2010-06-11 Andy Wingodeprecate get-option, for-next-option, display-usage...
2010-06-11 Andy Wingodeprecate `scheme-file-suffix'
2010-06-11 Andy Wingodeprecate `bad-throw'
2010-06-11 Andy Wingodeprecate has-suffix?
2010-06-10 Andy Wingodeprecate apply-to-args
2010-06-10 Andy Wingoscm-style-repl -> (ice-9 scm-style-repl)
2010-06-10 Andy Wingodeprecate error-catching-loop, error-catching-repl
2010-06-10 Andy Wingodeprecate scm-style-repl
2010-04-27 Andy WingoMerge branch 'wip-module-namespaces'
2010-04-24 Andy Wingomodule-public-interface is a field in the module record
2010-04-24 Andy Wingoadd submodule binders
2010-04-24 Andy Wingomodule-{ref,define}-submodule use the submodules table
2010-04-24 Andy Wingodeprecated %app shims use module-define-submodule!
2010-04-22 Andy Wingodeprecate %app
2010-04-22 Andy Wingoformally deprecate `app'
2010-04-19 Andy Wingodeprecate @bind
2010-04-10 Neil JerramMerge branch 'master' into wip-manual-2
2010-04-09 Andy Wingoremove libguile/lang.h, deprecate %nil (in favor of...
2010-01-11 Ludovic CourtèsMove `feature?' to `deprecated.scm'.
2010-01-11 Ludovic CourtèsMake `(ice-9 deprecated)' a module of its own.
2009-12-11 Andy Wingomerge from master to elisp
2009-12-04 Andy Wingoeval.c closures are now applicable smobs, not tc3s
2009-12-03 Andy Wingoimplement transcendental sin, cos etc in c; deprecate...
2009-12-01 Andy Wingonew evaluator, y'all
2009-11-01 Ludovic CourtèsMerge branch 'bdw-gc-static-alloc'
2009-10-16 Andy Wingobitvector tweaks
2009-10-15 Ludovic CourtèsFix compilation of literal bitvectors.
2009-10-15 Andy Wingoadd back support for #y bitvector read syntax
2009-09-01 Ludovic CourtèsMerge branch 'boehm-demers-weiser-gc' into bdw-gc-stati...
2009-08-28 Ludovic CourtèsMerge branch 'master' into boehm-demers-weiser-gc
2009-08-27 Neil JerramMerge branch 'ossau-gds-dev'
2009-08-27 Daniel KraftMerge branch 'master' of git://git.savannah.gnu.org...
2009-08-25 Andy WingoMerge commit 'origin/master'
2009-08-25 Andy WingoMerge wip-array refactor, up to cd43fdc5b7a7c
2009-08-17 Ludovic CourtèsMerge branch 'master' into boehm-demers-weiser-gc
2009-07-19 Andy Wingoremove deprecated functions from unif.c
2009-06-27 Daniel KraftMerge branch 'master' of git://git.savannah.gnu.org...
2009-06-16 Neil JerramChange Guile license to LGPLv3+
2009-05-29 Andy WingoMerge branch 'syncase-in-boot-9'
2009-05-20 Neil JerramFix `explicitely' typos, should be `explicitly'
2009-03-22 Ludovic CourtèsMerge branch 'master' into boehm-demers-weiser-gc
2009-03-17 Andy WingoMerge commit 'cb9d473112ac172a3d328bb029b5b550918d4262...
2009-03-17 Andy WingoMerge commit 'e20d7001c3f7150400169fecb0bf0eefdf122fe2...
2009-03-17 Andy WingoMerge commit 'e092357058850a6f998bf462bdc5504c6379c96f...
2009-03-17 Andy WingoMerge commit 'feccd2d3100fd2964d4c2df58ab3da7ce4949a66...
2009-03-17 Andy WingoMerge commit 'cce8b2ce93703aff953750fb40cb53176ea66504...
2009-03-17 Andy WingoMerge commit '4c9e29ec38350a5206aa3e8e72ad4376512ada2b...
2009-03-17 Andy WingoMerge commit 'ad5f5ada1d50ecdab634d60ffe3a13b9193156aa...
2009-03-17 Andy WingoMerge commit '95a040cd2be7ad03bf197edbdb1fec2c52749ef6...
2009-03-17 Andy WingoMerge commit '6290d3f10927f887102a164ccb1a7291cc62288d...
2009-03-17 Andy WingoMerge commit '5bb2d903b9e54fdd5858a16ba11fa91a9dc0c692...
2009-03-17 Andy WingoMerge commit '04795a1cb259c20896fb2edb50c58086027281b0...
2009-03-17 Andy WingoMerge commit '202271f291971cf14175f5a1a193955f72d43d79...
2009-03-17 Andy WingoMerge commit 'c010924a71f942100dc7b4021d5ef1c6decf9c85...
2009-03-17 Andy WingoMerge commit '53d81399bef1d9396665e79fb6b9c25eb8e2a6ad...
2009-03-17 Andy WingoMerge commit 'ab878b0f8e675a741a7dd56f52638a7cc0419907...
2009-03-17 Andy WingoMerge commit 'cbee5075d69cb057c4af4c5e24319da90367897f...
2009-03-17 Andy WingoMerge commit '32a2609de06af65341e6b4db6961557b788821e8...
2009-03-17 Andy WingoMerge commit 'e95d11110b7af0f528404d28209c3a464ab7074d...
2009-03-17 Andy WingoMerge commit '752be95a475132506c35922d284884cf776149d0...
2009-03-17 Andy WingoMerge commit '8b0174c879bf74981efe702a00471ed5b8e6912e...
2009-03-06 Andy WingoReplace eval-case with eval-when
2009-01-15 Andy WingoMerge commit 'origin/master' into vm
2009-01-12 Andy WingoMerge branch 'master' into vm
2009-01-12 Andy WingoMerge commit 'origin/master' into vm
2008-11-01 Andy Wingomove ice-9/ and oop/ under module/