Commit | Line | Data |
---|---|---|
25c6f63e CY |
1 | 2009-07-06 Chong Yidong <cyd@stupidchicken.com> |
2 | ||
3 | * woman.el: Remove stand-alone closing parentheses. | |
4 | (woman-file-name, woman2-format-paragraphs) | |
5 | (woman-leave-blank-lines): Code cleanup. | |
6 | (woman-use-own-frame): Change default to nil. | |
7 | (woman-italic, woman-bold, woman-unknown, woman-addition): Change | |
8 | defaults to inherit from default faces. | |
9 | (woman2-process-escapes): Consume the newline after a stand-alone | |
10 | filler character (Bug#3651). | |
11 | ||
f176290e GM |
12 | 2009-07-06 Glenn Morris <rgm@gnu.org> |
13 | ||
14 | * ffap.el (ffap-version): Make it an obsolete alias for emacs-version. | |
15 | (top-level): Move provide to the end. | |
16 | (ffap): Remove defunct URL from custom group. | |
17 | ||
18 | * subr.el (eval-after-load): Doc fix. | |
19 | ||
e4a5a307 JB |
20 | 2009-07-06 Vincent Belaïche <vincent.belaiche@gmail.com> |
21 | ||
22 | * calc/calc-embed.el (calc-embedded-make-info): Don't force when | |
23 | `calc-embedded-word' is called twice. | |
24 | ||
4b8b1ec5 SM |
25 | 2009-07-05 Stefan Monnier <monnier@iro.umontreal.ca> |
26 | ||
27 | * files.el (find-alternate-file-other-window, find-alternate-file): | |
28 | Obey confirm-nonexistent-file-or-buffer. | |
29 | ||
d6ceb380 MA |
30 | 2009-07-05 Michael Albinus <michael.albinus@gmx.de> |
31 | ||
32 | * dired-aux.el (dired-show-file-type): Handle remote files. | |
33 | ||
c760f19e CY |
34 | 2009-03-22 Jari Aalto <jari.aalto@cante.net> |
35 | ||
4b8b1ec5 SM |
36 | * desktop.el (desktop-globals-to-save): |
37 | Add file-name-history (Bug#2750). | |
c760f19e | 38 | |
6d00ce04 CY |
39 | 2009-07-05 Chong Yidong <cyd@stupidchicken.com> |
40 | ||
4b8b1ec5 | 41 | * add-log.el (add-log-current-defun-header-regexp): Doc fix (Bug#2217). |
6d00ce04 | 42 | |
6ee21b07 JB |
43 | 2009-07-04 Johan Bockgård <bojohan@gnu.org> |
44 | ||
45 | * eshell/esh-arg.el (eshell-parse-argument-hook): Put `number' | |
46 | property on entire argument since this is what eshell-lisp-command | |
47 | expects. | |
48 | ||
f0dbdc25 MA |
49 | 2009-07-03 Michael Albinus <michael.albinus@gmx.de> |
50 | ||
51 | * net/tramp-gvfs.el (tramp-gvfs-methods) | |
52 | (tramp-gvfs-zeroconf-domain) | |
53 | (tramp-bluez-discover-devices-timeout): Add version flag. | |
54 | (tramp-gvfs-handler-mounted-unmounted) | |
55 | (tramp-gvfs-connection-mounted-p): Polish handling of | |
56 | incompatibilities between GVFS 0.2 and 1.0. | |
57 | ||
e044e4fc JD |
58 | 2009-07-03 Jan Djärv <jan.h.d@swipnet.se> |
59 | ||
4b8b1ec5 | 60 | * cus-start.el (all): Add make-pointer-invisible. |
e044e4fc | 61 | |
2e9b968b JB |
62 | 2009-07-03 Jay Belanger <jay.p.belanger@gmail.com> |
63 | ||
64 | * calc-math.el (math-use-emacs-fn): Make sure that the number is | |
65 | formatted correctly. | |
66 | ||
baf1a55e JL |
67 | 2009-07-02 Juri Linkov <juri@jurta.org> |
68 | ||
69 | * info.el: Virtual Info files and nodes. | |
70 | (Info-virtual-files, Info-virtual-nodes): New variables. | |
71 | (Info-current-node-virtual): New variable. | |
72 | (Info-virtual-file-p, Info-virtual-fun, Info-virtual-call): | |
73 | New functions. | |
74 | (Info-file-supports-index-cookies): Use Info-virtual-file-p | |
75 | to check for a virtual file instead of checking a fixed list | |
76 | of node names. | |
77 | (Info-find-file): Use Info-virtual-fun and Info-virtual-call | |
78 | instead of ad-hoc processing of "dir" and (apropos history toc). | |
79 | (Info-find-node-2): Use Info-virtual-fun and Info-virtual-call | |
80 | instead of ad-hoc processing of "dir" and (apropos history toc). | |
81 | Reread a file when moving from a virtual node. | |
82 | (add-to-list)<Info-virtual-files>: Add "\\`dir\\'". | |
83 | (Info-directory-toc-nodes, Info-directory-find-file) | |
84 | (Info-directory-find-node): New functions. | |
85 | (add-to-list)<Info-virtual-files>: Add "\\`\\*History\\*\\'". | |
86 | (Info-history): Move part of code to | |
87 | `Info-history-find-node'. | |
88 | (Info-history-toc-nodes, Info-history-find-file) | |
89 | (Info-history-find-node): New functions. | |
90 | (add-to-list)<Info-virtual-nodes>: Add "\\`\\*TOC\\*\\'". | |
91 | (Info-toc): Move part of code to `Info-toc-find-node'. | |
92 | (Info-toc-find-node): New function. | |
4b8b1ec5 | 93 | (Info-toc-insert): Rename from `Info-insert-toc'. Don't insert |
baf1a55e JL |
94 | the current Info file name to references because now the node |
95 | "*TOC*" belongs to the same Info manual. | |
4b8b1ec5 | 96 | (Info-toc-build): Rename from `Info-build-toc'. |
baf1a55e JL |
97 | (Info-toc-nodes): Rename input argument `file' to `filename'. |
98 | Use Info-virtual-fun, Info-virtual-call and Info-virtual-file-p | |
99 | instead of ad-hoc processing of ("dir" apropos history toc). | |
100 | (Info-index-nodes): Use Info-virtual-file-p | |
101 | to check for a virtual file instead of checking a fixed list | |
102 | of node names. | |
103 | (Info-index-node): Add check for `Info-current-node-virtual'. | |
104 | Raise `save-match-data' higher up the tree to contain | |
105 | `search-forward' too (bug fix). | |
106 | (add-to-list)<Info-virtual-nodes>: Add "\\`\\*Index.*\\*\\'". | |
107 | (Info-virtual-index-nodes): New variable. | |
108 | (Info-virtual-index-find-node, Info-virtual-index): New functions. | |
109 | (add-to-list)<Info-virtual-files>: Add "\\`\\*Apropos\\*\\'". | |
110 | (Info-apropos-file, Info-apropos-nodes): New variables. | |
111 | (Info-apropos-toc-nodes, Info-apropos-find-file) | |
112 | (Info-apropos-find-node, Info-apropos-matches): New functions. | |
113 | (info-apropos): Move part of code to `Info-apropos-find-node' and | |
114 | `Info-apropos-matches'. | |
115 | (Info-mode-map): Bind "I" to `Info-virtual-index'. | |
116 | (Info-desktop-buffer-misc-data): Use Info-virtual-file-p to check | |
117 | for a virtual file instead of checking a fixed list of node names. | |
118 | ||
119 | * simple.el (async-shell-command): New command. | |
120 | ||
121 | * bindings.el (esc-map): Bind "&" to `async-shell-command'. | |
122 | ||
123 | * net/tramp-gvfs.el (tramp-gvfs-connection-mounted-p): Use `elt' | |
124 | instead of `mount-info'. | |
125 | ||
d04bc496 MA |
126 | 2009-07-02 Michael Albinus <michael.albinus@gmx.de> |
127 | ||
128 | * net/tramp-gvfs.el (tramp-gvfs-handler-mounted-unmounted) | |
4b8b1ec5 | 129 | (tramp-gvfs-connection-mounted-p): Handle changed mount-info interface. |
d04bc496 | 130 | |
af0403e0 KH |
131 | 2009-07-02 Kenichi Handa <handa@m17n.org> |
132 | ||
133 | * international/mule.el (set-keyboard-coding-system): Force *-unix | |
134 | coding-system to avoid eol conversion. | |
135 | ||
99278f8a MA |
136 | 2009-07-01 Michael Albinus <michael.albinus@gmx.de> |
137 | ||
4b8b1ec5 SM |
138 | * net/tramp-gvfs.el (tramp-gvfs-file-name-handler-alist): |
139 | Add handler for `process-file', `shell-command' and | |
99278f8a MA |
140 | `start-file-process'. |
141 | (tramp-gvfs-handle-shell-command) | |
142 | (tramp-gvfs-handle-start-file-process) | |
143 | (tramp-gvfs-handle-process-file): New defuns. | |
4b8b1ec5 | 144 | (tramp-synce-list-devices): Simplify check for existence of property. |
99278f8a | 145 | |
3f1c6666 JD |
146 | 2009-07-01 Jan Djärv <jan.h.d@swipnet.se> |
147 | ||
148 | * startup.el (command-line-x-option-alist): Add -mm and --maximized. | |
149 | ||
3f396bac KH |
150 | 2009-07-01 Eduard Wiebe <usenet@pusto.de> (tiny change) |
151 | ||
152 | * language/korean.el (set-language-info-alist): Add korean-cp949, | |
153 | cp949 to spec. | |
154 | ||
c1185193 KH |
155 | 2009-07-01 Kenichi Handa <handa@m17n.org> |
156 | ||
157 | * Makefile.in (ELCFILES): Delete encoded-kb.elc. | |
158 | ||
159 | * international/encoded-kb.el: Deleted. | |
160 | ||
161 | * international/mule.el (set-keyboard-coding-system): Perform the | |
162 | necessary setup here instead of calling encoded-kbd-setup-display. | |
163 | ||
3f150a60 GM |
164 | 2009-07-01 Glenn Morris <rgm@gnu.org> |
165 | ||
166 | * progmodes/f90.el (f90-break-delimiters, f90-no-break-re): Doc fixes. | |
167 | ||
b0d5b05b GM |
168 | 2009-07-01 Evangelos Evangelou <vangelis@email.unc.edu> (tiny change) |
169 | ||
170 | * progmodes/f90.el (f90-no-break-re): Add "(/" and "/)". (Bug#3730) | |
171 | ||
4f4126e6 MA |
172 | 2009-06-30 Michael Albinus <michael.albinus@gmx.de> |
173 | ||
4b8b1ec5 SM |
174 | * net/tramp.el (tramp-do-copy-or-rename-file-directly): |
175 | Handle also the 'rename case, when setting file modes. (Bug#3712) | |
974647ac | 176 | (tramp-default-file-modes) Remove execute permissions. |
4f4126e6 | 177 | |
7ae3ea65 | 178 | * net/tramp-gvfs.el (tramp-gvfs-methods): Add "synce" method. |
d6ceb380 MA |
179 | (top): Add a default for "synce" in `tramp-default-user-alist'. |
180 | Add completion function for "synce" method. | |
181 | (tramp-hal-service, tramp-hal-path-manager) | |
4b8b1ec5 SM |
182 | (tramp-hal-interface-manager, tramp-hal-interface-device): |
183 | New defconst. | |
184 | (tramp-gvfs-connection-mounted-p): Handle empty user name for synce. | |
185 | (tramp-synce-list-devices, tramp-synce-parse-device-names): | |
186 | New defuns. | |
d6ceb380 MA |
187 | |
188 | * net/trampver.el: Update release number. | |
7ae3ea65 | 189 | |
0ad6626b KH |
190 | 2009-06-30 Kenichi Handa <handa@m17n.org> |
191 | ||
192 | * international/fontset.el (setup-default-fontset): Add CJK fonts | |
193 | for symbols and the other miscellaneous characters. | |
194 | ||
4b8b1ec5 SM |
195 | * language/korea-util.el (setup-korean-environment-internal): |
196 | Make char-width-table suitable for Korean environments. | |
0ad6626b KH |
197 | (exit-korean-environment): Cancel above. |
198 | ||
199 | * language/chinese.el ("Chinese-GB", "Chinese-BIG5") | |
200 | ("Chinese-CNS", "Chinese-EUC-TW", "Chinese-GBK"): Add a | |
201 | setup-funcion to make char-widht-table suitable for respective | |
202 | environments, and an exit-function to cancel that. | |
203 | ||
204 | * language/japan-util.el (setup-japanese-environment-internal): | |
205 | Call use-cjk-char-width-table with arg `ja_JP'. | |
206 | ||
207 | * international/characters.el (cjk-char-width-table): Delete it. | |
208 | (cjk-char-width-table-list): New variable. | |
209 | (use-cjk-char-width-table): New arg local-name. | |
210 | (use-default-char-width-table): Fix for the case that Emacs is | |
211 | already using the default char-width-table. | |
212 | ||
fd46e893 MA |
213 | 2009-06-29 Michael Albinus <michael.albinus@gmx.de> |
214 | ||
215 | * net/tramp.el (tramp-do-copy-or-rename-file-directly): Set file | |
216 | modes mandatory. (Bug#3712) | |
217 | ||
b87d9f96 AM |
218 | 2009-06-29 Alan Mackenzie <acm@muc.de> |
219 | ||
220 | * progmodes/cc-cmds.el (c-mask-paragraph): Remove a spurious | |
4b8b1ec5 | 221 | correction between the visible width of TABs and their number of bytes. |
b87d9f96 | 222 | |
2d25aa5a CY |
223 | 2009-06-29 Chong Yidong <cyd@stupidchicken.com> |
224 | ||
225 | * server.el (server-buffer-done): Prevent kill-buffer from | |
226 | prompting by clearing the buffer modification flag (Bug#3696). | |
227 | ||
a3a8b002 DN |
228 | 2009-06-28 Michael McNamara <mac@mail.brushroad.com> |
229 | ||
230 | * verilog-mode.el (verilog-beg-of-statement) | |
4b8b1ec5 | 231 | (verilog-endcomment-reason-re): Support unique case and priority case. |
a3a8b002 DN |
232 | (verilog-basic-complete-re): Support localparam lineup. |
233 | (verilog-beg-of-statement-1): Fix for robustness, unique case. | |
234 | (verilog-set-auto-endcomments): Fix for unique case, always_comb | |
235 | commenting. | |
236 | (verilog-leap-to-case-head): Now support *nested* unique & | |
237 | priority case statements. | |
238 | (verilog-auto-lineup): Make just declarations the default (as it | |
239 | had been). | |
4b8b1ec5 | 240 | (verilog-leap-to-case-head): Support priority/unique case statements. |
a3a8b002 DN |
241 | (verilog-auto-lineup): Rework to give users radio buttons to |
242 | select the various styles of automatic lineup | |
243 | (verilog-error-regexp-alist): Rework to support the XEmacs style | |
244 | of error regular expressions from compilers, lint tools & | |
245 | simulators. Note that GNU Emacs has made it impossible for a mode | |
246 | to load such things. | |
247 | (electric-verilog-terminate-line, verilog-indent-declaration) | |
248 | (verilog-auto-wiure): Rework for radio button selection of | |
249 | auto-lineup selection of specification of auto lineup. | |
250 | (verilog-beg-of-statement-1): Redesign to support proper operation | |
251 | in additional code, based on testing with auto-lineup. | |
252 | (verilog-calculate-indent, assignments & declarations) | |
253 | (verilog-backward-token): Enhance to support auto-lineup of | |
254 | assignments & declarations. | |
255 | (verilog-in-directive-p, verilog-at-struct-p): New function for | |
256 | easy test of whether we are. | |
257 | (verilog-pretty-declarations, verilog-pretty-expr): Massive rework | |
258 | to support safe execution at almost anyline. | |
259 | (verilog-calc-1): Properly support indenting deep inside generate | |
260 | blocks. | |
261 | (verilog-init-font) Remove definition & use of verilog-init-font, | |
262 | as it is redundant with font-lock-defaults. | |
263 | (verilog-mode): Alter the definition of verilog-font-lock-defualts | |
264 | to avoid circular calls if syntax-ppss is a function (as is the | |
265 | case now in 22.x GNU Emacs) as that function would sometimes call | |
266 | itself, leading to (nearly) infinite recursion | |
267 | (verilog-ovm-begin-re, verilog-ovm-end-re) | |
268 | (verilog-ovm-statement-re, verilog-leap-to-head) | |
269 | (verilog-backward-token): Add support for OVM macros. Some are | |
270 | complete statements, and others open and close scopes like begin | |
271 | and end. | |
272 | (verilog-defun-level-not-generate-re, verilog-defun-level-re) | |
273 | (verilog-defun-level-generate-only-re): Really fix the defun-list | |
274 | compilation issue | |
275 | (verilog-calc-1) (verilog-beg-of-statement): Enhance support for | |
276 | coverpoint, constraint and cross statements | |
277 | (verilog-defun-level-list, verilog-generate-defun-level-list) | |
278 | (verilog-all-defun-level-list): Redo these specifications - it is | |
279 | too hard to support eval-when compile aggregation of lists also | |
280 | built at when-compile time. | |
281 | (verilog-defun-level-list): Place defconsts of variables used in | |
282 | building regular expressions which are built in eval-when-compile | |
283 | bodies in the same eval-when-compile body to facilitate compile | |
284 | without load. | |
285 | (verilog-beg-block-re-ordered): Support indenting | |
286 | virtual/protected tasks and functions. | |
287 | (verilog-defun-level-list,verilog-in-generate-region-p) | |
288 | (verilog-backward-ws&directives, verilog-calc-1): Speed up | |
289 | indentation of some module items (generate items). | |
290 | (verilog-forward-sexp, verilog-leap-to-head): Support stepping | |
291 | across virtual/protected tasks and functions. | |
292 | ||
293 | 2009-06-28 Wilson Snyder <wsnyder@wsnyder.org> | |
294 | ||
4b8b1ec5 SM |
295 | * verilog-mode.el (verilog-auto-arg, verilog-auto-arg-sort): |
296 | Allow sorting AUTOARG lists. Suggested by Andrea Fedeli. | |
a3a8b002 DN |
297 | (verilog-read-sub-decls-line): Fix AUTOWIRE signals getting lost |
298 | in concatenations. Reported by Yishay Belkind. | |
299 | (verilog-auto-ascii-enum): Support one-hot state machines in | |
300 | AUTOASCIIENUM. Suggested by Lloyd Gomez. | |
301 | (verilog-auto-inst, verilog-auto-inst-port): Include interface | |
302 | modport in AUTOINST and add vl-modport for users. Reported by | |
303 | David Rogoff. | |
304 | (verilog-auto-inout-module, verilog-auto-inst) | |
305 | (verilog-decls-get-interfaces, verilog-insert-definition) | |
306 | (verilog-insert-one-definition, verilog-read-decls) | |
307 | (verilog-read-sub-decls, verilog-read-sub-decls-sig) | |
308 | (verilog-sig-modport, verilog-signals-combine-bus) | |
309 | (verilog-subdecls-get-interfaces): Fix expansion of SystemVerilog | |
310 | interfaces in AUTOINOUTMODULE, AUTOINOUTCOMP, and AUTOINST. | |
311 | Suggested by David Rogoff. | |
312 | (verilog-repair-open-comma): Fix non-insertion of comma when | |
313 | `DEFINE occurs in V2K argument list. Reported by Lane Brooks. | |
314 | (verilog-make-width-expression): Simplify [A-1:0] expression | |
315 | widths to just {A{1'b0}}. | |
316 | (verilog-mode): Cleanup checkdoc warnings. | |
4b8b1ec5 SM |
317 | (verilog-auto-inout-module, verilog-signals-matching-dir-re): |
318 | Add third optional regexp to AUTOINOUTMODULE to allow selecting only | |
a3a8b002 DN |
319 | inputs/outputs or data type. Suggested by Vasu Kandadi. |
320 | (next-error-last-buffer): Fix byte-compiler warning. | |
321 | (verilog-auto, verilog-auto-insert-lisp, verilog-auto-inst) | |
322 | (verilog-delete-auto): Add AUTOINSERTLISP to insert arbitrary lisp | |
4b8b1ec5 | 323 | or shell command text during AUTO expansion. Suggested by Tad Truex. |
a3a8b002 | 324 | (verilog-read-sub-decls-expr, verilog-read-sub-decls-line) |
4b8b1ec5 SM |
325 | (verilog-read-sub-decls-sig, verilog-symbol-detick-text): |
326 | Fix dotted nets {a.b,c.d} and excaped identifiers being mis-included | |
a3a8b002 DN |
327 | in AUTOINOUT. Reported by Matthew Lovell. |
328 | (verilog-read-always-signals-recurse): Fix AUTORESET "if (a<=b)" | |
329 | causing use of <= assignments. Reported by Alex Reed. | |
330 | (verilog-read-decls): Fix triand, trior, wand, wor to be | |
331 | recognized by AUTOWIRE. Reported by Spencer Isaacson. | |
332 | (verilog-extended-complete-re): Support import "DPI-C" functions. | |
333 | (verilog-read-always-signals-recurse): Fix AUTORESET of "x <= | |
4b8b1ec5 | 334 | y[a+1:a+1]" to not include a in reset list. Reported by Dan Dever. |
a3a8b002 DN |
335 | (verilog-insert-date, verilog-insert-year) |
336 | (verilog-sk-header-tmpl): Fix verilog-header inserting error on | |
337 | Windows systems. Reported by Michael Potts. | |
338 | (verilog-read-module-name): Fix AUTOINST when the child module | |
339 | declaration's name is a tick define. Reported by Elliot Mednick. | |
340 | (verilog-read-decls): Fix V2K parameter bit subscripts getting | |
341 | passed to next parameter's definition. Reported by Bruce T. | |
342 | (verilog-read-decls): Fix detecting "parameter int" when using | |
343 | AUTOINSTPARAM. Reported by Bruce T. | |
344 | (verilog-goto-defun): Fix goto not finding modules unless first | |
345 | perform a verilog-auto expansion. Suggested by Lawrence Butcher. | |
4b8b1ec5 | 346 | (verilog-mode): Expand -f flag arguments on entry to mode so |
a3a8b002 DN |
347 | verilog-goto-defun will work. Reported by Lawrence Butcher. |
348 | (verilog-getopt): Expand environment variables in -f file | |
349 | arguments. Suggested by Lawrence Butcher. | |
4b8b1ec5 | 350 | (verilog-set-define): Fix "Symbol's value as variable is void" |
a3a8b002 DN |
351 | when reading enumerations. |
352 | (verilog-auto-ascii-enum): Fix duplicate labels in AUTOASCIIENUM. | |
353 | Suggested by Stephen Peltan. | |
4b8b1ec5 | 354 | (verilog-read-defines): Fix reading of enumerations in include |
a3a8b002 DN |
355 | files. Reported by Steve Peltan. |
356 | ||
f7c0d931 CY |
357 | 2009-06-28 David De La Harpe Golden <david@harpegolden.net> |
358 | ||
359 | * files.el (trash-directory): Fix defcustom type. | |
360 | ||
baf1a55e | 361 | 2009-06-28 Juri Linkov <juri@jurta.org> |
cebabb67 CY |
362 | |
363 | * help-fns.el (describe-function-1): Correctly locate adviced | |
364 | functions in hyperlink (Bug#2438). | |
365 | ||
d63a01ef CY |
366 | 2009-06-28 Chong Yidong <cyd@stupidchicken.com> |
367 | ||
368 | * files.el (trash-directory): Change default to nil. | |
369 | (move-file-to-trash): If trash-directory is nil and | |
370 | system-move-file-to-trash is unbound, perform freedesktop-style | |
371 | trashing. | |
372 | ||
373 | 2009-06-28 David De La Harpe Golden <david@harpegolden.net> | |
374 | ||
375 | * files.el (move-file-to-trash): Add freedesktop trash | |
376 | support (Bug#973). | |
377 | ||
fc2f6a26 GM |
378 | 2009-06-28 Glenn Morris <rgm@gnu.org> |
379 | ||
380 | * autorevert.el (global-auto-revert-non-file-buffers) | |
381 | (global-auto-revert-mode): Doc fixes. | |
382 | ||
00242b07 JB |
383 | 2009-06-27 Johan Bockgård <bojohan@gnu.org> |
384 | ||
385 | * emacs-lisp/cl-specs.el (defstruct): Fix :conc-name spec. | |
386 | ||
f5594f03 CY |
387 | 2009-06-27 Chong Yidong <cyd@stupidchicken.com> |
388 | ||
e8a2b2da CY |
389 | * faces.el (x-handle-named-frame-geometry): Ensure that we have |
390 | opened an X connection before calling x-get-resource (Bug#3194). | |
391 | ||
f5594f03 CY |
392 | * play/doctor.el: Remove reference to obsolete website. |
393 | (make-doctor-variables): Correct grammar mistake (Bug#2633). | |
394 | ||
3c3bf6ce DN |
395 | 2009-06-26 Dan Nicolaescu <dann@ics.uci.edu> |
396 | ||
397 | Remove find-file-not-found-hook VC method. (Bug#2757) | |
398 | * vc-hooks.el (vc-file-not-found-hook) | |
399 | (vc-default-find-file-not-found-hook): Remove functions. | |
400 | (find-file-not-found-functions): Do not add vc-file-not-found-hook. | |
401 | * vc-rcs.el (vc-rcs-find-file-not-found-hook): Remove function. | |
402 | * vc.el: | |
403 | * vc-hg.el: | |
404 | * vc-git.el: Do not mention find-file-not-found-hook VC method. | |
405 | ||
e26a7bc0 AM |
406 | 2009-06-25 Agustín Martín <agustin.martin@hispalinux.es> |
407 | ||
408 | * textmodes/ispell.el: Add `ispell-looking-back' XEmacs | |
409 | compatibility function for `looking-back' | |
410 | ||
4b8b1ec5 SM |
411 | * textmodes/flyspell.el (sgml-mode-flyspell-verify): |
412 | Use `ispell-looking-back' | |
e26a7bc0 | 413 | |
d9848600 MA |
414 | 2009-06-24 Michael Albinus <michael.albinus@gmx.de> |
415 | ||
416 | * net/tramp-gvfs.el (tramp-gvfs-handle-make-directory): Use `dir' | |
fc2f6a26 | 417 | rather than `filename'. |
d9848600 | 418 | |
05fbc4a9 MB |
419 | 2009-06-23 Miles Bader <miles@gnu.org> |
420 | ||
421 | * face-remap.el (text-scale-set): New function. | |
422 | ||
f86ca715 GM |
423 | 2009-06-23 Glenn Morris <rgm@gnu.org> |
424 | ||
33e2c2e5 GM |
425 | * pcmpl-rpm.el (pcomplete/rpm): Doc fix. |
426 | ||
f529f302 GM |
427 | * bindings.el (mode-line-modified): Fix case of "Buffer is modified". |
428 | ||
34995333 GM |
429 | * textmodes/ispell.el (ispell-local-dictionary): Doc fix. |
430 | ||
cc80ccee GM |
431 | * progmodes/gdb-mi.el (gud-remove, gud-break): Update declarations. |
432 | ||
84cb770d GM |
433 | * calendar/cal-dst.el (calendar-time-zone-daylight-rules): |
434 | Simplify Persian conditionals. | |
435 | ||
d916c965 GM |
436 | * calc/calc-graph.el (calc-graph-plot): Avoid assignment to free |
437 | variable `filename'. | |
438 | ||
b6a44b27 GM |
439 | * comint.el (comint-insert-input): Doc fix. |
440 | ||
f86ca715 GM |
441 | * Makefile.in (ELCFILES): Fix typo in previous change. |
442 | ||
666e158e MB |
443 | 2009-06-23 Miles Bader <miles@gnu.org> |
444 | ||
445 | * cus-start.el: Add entry for `recenter-redisplay'. | |
446 | ||
77bf3f54 DN |
447 | 2009-06-22 Dan Nicolaescu <dann@ics.uci.edu> |
448 | ||
449 | * vc-hooks.el (vc-stay-local-p, vc-state, vc-working-revision): | |
450 | Add an optional argument for the backend, use it instead of | |
451 | calling vc-backend. | |
4b8b1ec5 SM |
452 | (vc-mode-line): Add an optional argument for the backend. |
453 | Pass the backend to vc-state and vc-working-revision. Move code for | |
77bf3f54 DN |
454 | special handling for vc-state being a buffer to ... |
455 | ||
456 | * vc-rcs.el (vc-rcs-find-file-hook): | |
457 | * vc-sccs.el (vc-sccs-find-file-hook): ... here. New functions. | |
458 | ||
459 | * vc-svn.el (vc-svn-state, vc-svn-dir-status, vc-svn-checkout) | |
460 | (vc-svn-print-log, vc-svn-diff): Pass 'SVN to vc-state, | |
461 | vc-stay-local-p and vc-mode-line calls. | |
462 | ||
463 | * vc-cvs.el (vc-cvs-state, vc-cvs-checkout, vc-cvs-print-log) | |
464 | (vc-cvs-diff, vc-cvs-annotate-command) | |
465 | (vc-cvs-make-version-backups-p, vc-cvs-stay-local-p) | |
466 | (vc-cvs-dir-status): Pass 'CVS to vc-state, vc-stay-local-p and | |
467 | vc-mode-line calls. | |
468 | ||
469 | * vc.el (vc-deduce-fileset): Use vc-deduce-fileset instead of | |
470 | direct comparison. | |
471 | (vc-next-action, vc-transfer-file, vc-rename-file): Also pass the | |
472 | backend when calling vc-mode-line. | |
473 | (vc-register): Do not create a closure for calling the vc register | |
474 | function, call it directly. | |
475 | ||
2764748c DN |
476 | 2009-06-23 Dan Nicolaescu <dann@ics.uci.edu> |
477 | ||
478 | * emacs-lisp/elp.el (elp-output-insert-symname): Add a link face | |
479 | to make it obvious item can be clicked. | |
f5a0b281 DN |
480 | |
481 | * vc-mtn.el (vc-mtn-after-dir-status, vc-mtn-dir-status): New functions. | |
482 | ||
d4a885b2 KH |
483 | 2009-06-23 Kenichi Handa <handa@m17n.org> |
484 | ||
485 | * language/korea-util.el (korean-key-bindings): Change the binding | |
486 | of F9 to hangul-to-hanja-conversion. Bind Hangul_Hanja to the | |
487 | same command. | |
488 | ||
e3610693 MA |
489 | 2009-06-22 Michael Albinus <michael.albinus@gmx.de> |
490 | ||
491 | Sync with Tramp 2.1.16. | |
492 | ||
493 | * Makefile.in (ELCFILES): Add net/tramp-gvfs.elc. | |
494 | ||
495 | * net/tramp.el (top): Require tramp-gvfs. Catch `tramp-loading', | |
496 | when a loading of a package fails. Completion function for rsync | |
497 | is `tramp-completion-function-alist-ssh'. | |
498 | (all): Replace all calls of `split-string' and | |
499 | `tramp-split-string' by `tramp-compat-split-string'. | |
500 | (tramp-default-method): Use `tramp-compat-process-running-p'. | |
501 | (tramp-default-proxies-alist): Allow also Lisp forms. | |
502 | (tramp-remote-path): Add choice "Private Directories". | |
4b8b1ec5 | 503 | (tramp-wrong-passwd-regexp): Remove "Tramp connection closed" option. |
e3610693 MA |
504 | (tramp-domain-regexp): Allow also "-", "_" and ".". |
505 | (tramp-end-of-output): Remove newlines, and add "$" at the end. | |
506 | (tramp-file-name-handler-alist): Add handler for `dired-uncache'. | |
507 | (tramp-debug-message): Insert header line in debug buffer. | |
4b8b1ec5 SM |
508 | (tramp-handle-directory-files-and-attributes-with-stat): |
509 | Care about filenames with spaces, or starting with "-". | |
e3610693 MA |
510 | (tramp-handle-dired-uncache): New defun. |
511 | (tramp-handle-insert-directory): Don't flush the directory from | |
512 | cache, this is handled by `dired-uncache' now. | |
513 | (tramp-handle-insert-file-contents): Improve error handling. | |
514 | (tramp-find-shell, tramp-open-connection-setup-interactive-shell): | |
515 | Quote `tramp-end-of-output'. | |
516 | (tramp-action-password): Improve trace message. | |
4b8b1ec5 SM |
517 | (tramp-check-for-regexp): Both echoes must be present, before removing. |
518 | (tramp-open-connection-setup-interactive-shell): Trace coding system. | |
e3610693 MA |
519 | (tramp-compute-multi-hops): Eval cons cells of |
520 | `tramp-default-proxies-alist'. | |
521 | (tramp-maybe-open-connection): Use the same command pattern for | |
522 | first hop and further hops. | |
523 | (tramp-wait-for-output): Remove handling of newlines. | |
524 | (tramp-get-remote-path): Handle also `tramp-own-remote-path'. | |
525 | (tramp-split-string): Remove function. It is handled in | |
526 | tramp-compat now. | |
527 | ||
4b8b1ec5 SM |
528 | * net/tramp-cmds.el (tramp-bug): |
529 | Recommend `tramp-cleanup-all-connections' in the bug mail. | |
e3610693 MA |
530 | |
531 | * net/tramp-compat.el (tramp-compat-split-string) | |
532 | (tramp-compat-process-running-p): New defuns. | |
533 | ||
534 | * net/tramp-fish.el (tramp-fish-file-name-handler-alist): Add handler | |
535 | for `dired-uncache'. | |
536 | ||
537 | * net/tramp-gvfs.el: New package. | |
538 | ||
4b8b1ec5 SM |
539 | * net/tramp-smb.el (tramp-smb-file-name-handler-alist): |
540 | Add handler for `dired-uncache'. | |
e3610693 MA |
541 | (tramp-smb-handle-file-local-copy): Cleanup in case of error. |
542 | ||
543 | * net/trampver.el: Update release number. Make version check fit | |
544 | for SXEmacs 22. | |
545 | ||
13a3f374 JM |
546 | 2009-06-22 Jim Meyering <meyering@redhat.com> |
547 | ||
e0a28a3b | 548 | Automatically handle .xz suffix (XZ-compressed files), too. |
13a3f374 JM |
549 | * jka-cmpr-hook.el (jka-compr-compression-info-list): Add xz. |
550 | XZ is the successor to LZMA: <http://tukaani.org/xz/> | |
551 | ||
5242671e | 552 | 2009-06-22 Dmitry Dzhus <dima@sphinx.net.ru> |
e0a28a3b | 553 | Nick Roberts <nickrob@snap.net.nz> |
13a3f374 | 554 | |
e0a28a3b | 555 | * progmodes/gdb-mi.el: Pull further modified changes from Dmitry's |
5242671e NR |
556 | repository (http://sphinx.net.ru/hg/gdb-mi/). |
557 | ||
3fde45af GM |
558 | 2009-06-22 Glenn Morris <rgm@gnu.org> |
559 | ||
46253b34 GM |
560 | * files.el (dir-locals-collect-mode-variables): Allow for any number of |
561 | `mode' and `eval' entries. (Bug#3430) | |
562 | ||
97e18192 GM |
563 | * Makefile.in (ELCFILES): Add fadr.elc. |
564 | ||
731a00fb GM |
565 | * calendar/appt.el (appt-make-list): Fix off-by-one error caused by |
566 | differing behavior of \n and ^ in strings. (Bug#3385) | |
567 | ||
c66cd0ff GM |
568 | * emacs-lisp/cl-indent.el: Remove leading "*" from defcustom docs. |
569 | ||
3fde45af GM |
570 | * emacs-lisp/lisp-mode.el (lisp-indent-offset): Fix safe-local-variable |
571 | property. | |
572 | (lisp-indent-function): Make it a defcustom. | |
573 | ||
db2241a0 NR |
574 | 2009-06-21 Nick Roberts <nickrob@snap.net.nz> |
575 | ||
576 | * progmodes/gdb-ui.el: Replace with ... | |
5242671e | 577 | * progmodes/gdb-mi.el: ... this file. |
db2241a0 | 578 | * progmodes/gud.el: Modify for gdb-mi.el. |
fca428fe GM |
579 | |
580 | 2009-06-21 Dmitry Dzhus <dima@sphinx.net.ru> | |
581 | ||
db2241a0 NR |
582 | * fadr.el: New file. |
583 | ||
70243478 CY |
584 | 2009-06-21 Chong Yidong <cyd@stupidchicken.com> |
585 | ||
586 | * Branch for 23.1. | |
587 | ||
0ae8ebe8 GM |
588 | 2009-06-21 Glenn Morris <rgm@gnu.org> |
589 | ||
c4ea8f00 | 590 | * emacs-lisp/lisp-mode.el (lisp-indent-function) |
0ae8ebe8 GM |
591 | * emacs-lisp/cl-indent.el (lisp-indent-defun-method) |
592 | (common-lisp-indent-function): Add doc strings. | |
593 | ||
347437a5 CY |
594 | 2009-06-19 David Casperson <casper@unbc.ca> (tiny change) |
595 | ||
596 | * font-core.el (turn-on-font-lock-if-desired): Correctly handle | |
597 | the case where font-lock-global-modes is a list that doesn't begin | |
635de3bb | 598 | with `not' (Bug#3611). |
347437a5 | 599 | |
6ec6d6f4 GM |
600 | 2009-06-19 Glenn Morris <rgm@gnu.org> |
601 | ||
602 | * subr.el (open-network-stream): Doc fix. | |
603 | ||
ef34da7f JB |
604 | 2009-06-19 Juanma Barranquero <lekktu@gmail.com> |
605 | ||
606 | * international/fontset.el (setup-default-fontset): | |
607 | Fix typo in previous change. | |
608 | ||
fdf483c9 KH |
609 | 2009-06-19 Kenichi Handa <handa@m17n.org> |
610 | ||
27fa41ee KH |
611 | * international/fontset.el (setup-default-fontset): Add a spec of |
612 | non-OTF unicode font for Thai. | |
613 | ||
fdf483c9 KH |
614 | * fringe.el: Add coding: utf-8 cookie. |
615 | ||
edfe155b CY |
616 | 2009-06-18 Kenichi Handa <handa@m17n.org> |
617 | ||
618 | * language/korean.el (korean-cp949): New coding system. Set cp949 | |
619 | as an alias to it. | |
620 | ||
f91e3313 CY |
621 | 2009-06-18 Ulrich Mueller <ulm@gentoo.org> |
622 | ||
623 | * pgg-gpg.el (pgg-gpg-lookup-key-owner): Handle colon listings | |
6fce7820 | 624 | format used by GnuPG 2.0.11. |
f91e3313 | 625 | |
c1a70892 GM |
626 | 2009-06-18 Glenn Morris <rgm@gnu.org> |
627 | ||
628 | * files.el (dir-locals-set-directory-class): Make mtime argument | |
629 | optional, since it is always unneeded in the non-file case. (Bug#3577) | |
630 | ||
269a9d1a KH |
631 | 2009-06-18 Kenichi Handa <handa@m17n.org> |
632 | ||
5c7c11c3 KH |
633 | * language/korean.el ("Korean"): Fix `documentation' property of |
634 | this language environment. | |
635 | ||
269a9d1a KH |
636 | * language/korea-util.el (korean-key-bindings): Add binding for |
637 | key Hangul. | |
638 | ||
f7a2e634 CY |
639 | 2009-06-17 Chong Yidong <cyd@stupidchicken.com> |
640 | ||
641 | * progmodes/compile.el (compilation-error-regexp-alist-alist): | |
642 | Comment out 2007-07-25 change adding support for Maven error | |
643 | messages; this regexp is too slow on long lines (Bug#3441). | |
644 | ||
11473529 CY |
645 | 2009-06-17 Akinori MUSHA <knu@iDaemons.org> (tiny change) |
646 | ||
647 | * progmodes/ruby-mode.el (ruby-parse-partial) | |
648 | (ruby-font-lock-keywords): Support overloadable negative | |
649 | operators (Bug#3587). | |
650 | ||
b9330108 CY |
651 | 2009-06-17 Tiago Saboga <tiagosaboga@gmail.com> (tiny change) |
652 | ||
653 | * files.el (save-some-buffers-action-alist): Fix last | |
654 | change (Bug#3578). | |
655 | ||
cf66a343 GM |
656 | 2009-06-17 Glenn Morris <rgm@gnu.org> |
657 | ||
658 | * files.el (dir-locals-set-directory-class): Fix doc typo. | |
659 | ||
67cbe681 KH |
660 | 2009-06-17 Kenichi Handa <handa@m17n.org> |
661 | ||
662 | * international/mule-diag.el (list-character-sets): Change | |
663 | "FINAL-CHAR" to "FINAL-BYTE" to follow the official terminology. | |
664 | (list-character-sets-1): Add one line explanation about | |
665 | "supplementary charset". Make the part "Supplementary Character | |
666 | Sets" clickable. | |
667 | ||
c8e98fdb KH |
668 | 2009-06-16 Eduard Wiebe <usenet@pusto.de> (tiny change) |
669 | ||
670 | * international/mule-conf.el: Add cp949-2-byte, cp949. | |
671 | ||
b6cac59c KH |
672 | 2009-06-16 Kenichi Handa <handa@m17n.org> |
673 | ||
674 | * composite.el (compose-region): Improve the docstring. | |
675 | ||
37438e77 SM |
676 | 2009-06-15 Stefan Monnier <monnier@iro.umontreal.ca> |
677 | ||
678 | * vc.el (vc-default-revision-granularity): Remove to let the normal | |
679 | error handling kick in (bug#3570). | |
680 | ||
ad4c1f62 CY |
681 | 2009-06-13 Chong Yidong <cyd@stupidchicken.com> |
682 | ||
683 | * simple.el (kill-visual-line): Rewrite (Bug#3437). Don't try to | |
684 | handle kill-whole-line, as it doesn't make sense in this context. | |
685 | ||
02532fbc SS |
686 | 2009-06-12 Sam Steingold <sds@gnu.org> |
687 | ||
688 | * vc-hg.el (vc-hg-log-switches): Add defcustom. | |
689 | (vc-hg-print-log): Use it. | |
690 | ||
09856751 KH |
691 | 2009-06-12 Kenichi Handa <handa@m17n.org> |
692 | ||
693 | * international/eucjp-ms.el: Re-generated. | |
694 | ||
b27c3bc6 GM |
695 | 2009-06-10 Glenn Morris <rgm@gnu.org> |
696 | ||
697 | * calendar/cal-dst.el (calendar-time-zone-daylight-rules): | |
698 | Fix typo in 2008-04-02 change. | |
699 | ||
b8321d86 KH |
700 | 2009-06-10 Kenichi Handa <handa@m17n.org> |
701 | ||
702 | * composite.el (compose-gstring-for-terminal): For zero-width | |
703 | characters of Unicode category `Cf', simply replace it with SPC. | |
704 | ||
ef34da7f | 705 | 2009-06-09 Agustín Martín <agustin.martin@hispalinux.es> |
820c1f50 AM |
706 | |
707 | * ispell.el: Make `ispell-dictionary' customizable (#2555) | |
708 | ||
4fd536b6 MA |
709 | 2009-06-08 Michael Albinus <michael.albinus@gmx.de> |
710 | ||
711 | * ediff-util.el (ediff-compute-custom-diffs-maybe): Handle remote | |
712 | files correctly. | |
713 | ||
521e469f AR |
714 | 2009-06-08 Adrian Robert <Adrian.B.Robert@gmail.com> |
715 | ||
716 | * term/ns-win.el (composition-function-table) | |
717 | (script-representative-chars): Don't alter. | |
718 | ||
917b89a6 MA |
719 | 2009-06-07 Michael Albinus <michael.albinus@gmx.de> |
720 | ||
721 | * net/tramp.el (tramp-do-copy-or-rename-file-directly): Make direct | |
722 | copy more robust, especially when "chown" is not applicable. | |
723 | ||
5249a62d MR |
724 | 2009-06-07 Martin Rudalics <rudalics@gmx.at> |
725 | ||
726 | * emacs-lisp/lisp-mode.el (lisp-mode-variables): Fix doc-string. | |
727 | ||
661aaece MA |
728 | 2009-06-06 Michael Albinus <michael.albinus@gmx.de> |
729 | ||
630100ea MA |
730 | * net/tramp.el (tramp-open-connection-setup-interactive-shell): |
731 | Apply workaround for OpenSolaris bug. | |
661aaece | 732 | |
42481bde DU |
733 | 2009-06-05 Daiki Ueno <ueno@unixuser.org> |
734 | ||
735 | * epa.el (epa-decrypt-region): Detect encoding if | |
736 | coding-system-for-read is not specified. | |
737 | <http://sourceforge.jp/ticket/browse.php?group_id=2267&tid=17018> | |
738 | (epa-verify-region): Ditto. | |
739 | ||
e66f4dfb SM |
740 | 2009-06-04 Stefan Monnier <monnier@iro.umontreal.ca> |
741 | ||
742 | * tar-mode.el (tar-header-block-tokenize): Obey @LongLink even for | |
743 | POSIX tar archives (bug#3410). | |
744 | ||
bea2e969 JB |
745 | 2009-06-04 Johan Bockgård <bojohan@gnu.org> |
746 | ||
747 | * vc.el (vc-revision-other-window): Fix argument to backend | |
748 | function revision-completion-table; it expects a list. | |
749 | ||
5e5ae184 SM |
750 | 2009-06-04 Stefan Monnier <monnier@iro.umontreal.ca> |
751 | ||
752 | * frame.el (delete-other-frames): Only delete frames on the | |
753 | same terminal (bug#3442). | |
754 | ||
8682defd GM |
755 | 2009-06-04 Glenn Morris <rgm@gnu.org> |
756 | ||
757 | * calendar/solar.el (solar-n-hemi-seasons, solar-s-hemi-seasons): | |
758 | Make into defcustoms. (Bug#3429) | |
759 | ||
e3a4c813 CY |
760 | 2009-06-01 Chong Yidong <cyd@stupidchicken.com> |
761 | ||
762 | * international/README: New file. | |
763 | ||
764 | * international/charprop.el: | |
765 | * international/uni-bidi.el: | |
766 | * international/uni-category.el: | |
767 | * international/uni-combining.el: | |
768 | * international/uni-comment.el: | |
769 | * international/uni-decimal.el: | |
770 | * international/uni-decomposition.el: | |
771 | * international/uni-digit.el: | |
772 | * international/uni-lowercase.el: | |
773 | * international/uni-mirrored.el: | |
774 | * international/uni-name.el: | |
775 | * international/uni-numeric.el: | |
776 | * international/uni-old-name.el: | |
777 | * international/uni-titlecase.el: | |
778 | * international/uni-uppercase.el: Add copyright notice (Bug#3428). | |
779 | ||
780 | * emulation/edt.el (edt-previous-line, edt-next-line): Don't use | |
781 | forward-line, undoing 2007-10-19 change (Bug#3188). | |
782 | ||
783 | * textmodes/two-column.el (2C-split): Call move-to-column, to | |
784 | compensate for the 2007-10-19 change from next-line to | |
785 | forward-line (Bug#3435). Account for fringe and scroll-bar when | |
786 | setting 2C-window-width. | |
787 | ||
97b2518e SM |
788 | 2009-05-29 Stefan Monnier <monnier@iro.umontreal.ca> |
789 | ||
790 | * startup.el (normal-no-mouse-startup-screen): Use F1 rather than C-h | |
791 | if C-h is remapped to something else like DEL. | |
792 | ||
f2872f04 CY |
793 | 2009-05-26 Chong Yidong <cyd@stupidchicken.com> |
794 | ||
97b2518e | 795 | * edmacro.el (edmacro-parse-keys): Fix 2008-08-19 change (Bug#3387). |
c46768fc | 796 | |
f2872f04 CY |
797 | * view.el (view-recenter): Allow recenter to compute window height |
798 | normally. | |
9d14bd37 CY |
799 | (view-window-size): Use window-line-height to find window height. |
800 | (view-page-size-default): Return nil if LINES is nil, 0, or larger | |
801 | than the window height. | |
802 | (view-scroll-lines): Handle nil value for DEFAULT arg (Bug#3361). | |
f2872f04 | 803 | |
66bb8485 CY |
804 | 2009-05-26 Kenichi Handa <handa@m17n.org> |
805 | ||
97b2518e SM |
806 | * textmodes/table.el (table--unibyte-char-to-multibyte): |
807 | Delete function. | |
66bb8485 CY |
808 | (*table--cell-self-insert-command, *table--cell-quoted-insert): |
809 | Don't call table--unibyte-char-to-multibyte (Bug#3372). | |
810 | ||
707f4689 CY |
811 | 2009-05-26 Chong Yidong <cyd@stupidchicken.com> |
812 | ||
813 | * newcomment.el (comment-region): Doc fix (Bug#3370). | |
814 | ||
75da36cc RW |
815 | 2009-05-24 Roland Winkler <Roland.Winkler@physik.uni-erlangen.de> |
816 | ||
817 | * mail/smtpmail.el: Indent code properly to make it more readable. | |
818 | ||
d1dca201 CY |
819 | 2009-05-24 Chong Yidong <cyd@stupidchicken.com> |
820 | ||
821 | * textmodes/ispell.el (ispell-check-version): Handle dashes in | |
822 | version numbers. Suggested by sdl.web@gmail.com (Bug#3369). | |
823 | ||
558fc4c9 CY |
824 | 2009-05-23 Chong Yidong <cyd@stupidchicken.com> |
825 | ||
826 | * info.el (Info-mode): Doc fix (Bug#3358). | |
827 | ||
e290f028 JB |
828 | 2009-05-23 Johan Bockgård <bojohan@gnu.org> |
829 | ||
830 | * ediff-vers.el (ediff-vc-latest-version): Use property | |
831 | `vc-latest-revision' instead of `vc-latest-version'. (It was | |
832 | renamed in the big VC overhaul.) | |
833 | ||
d4bbd646 CY |
834 | 2009-05-23 Chong Yidong <cyd@stupidchicken.com> |
835 | ||
836 | * progmodes/grep.el (grep-compute-defaults): Simplify how settings | |
837 | are looked up. | |
838 | (grep-apply-setting): New function. | |
839 | (grep-highlight-matches, grep-command, grep-template) | |
840 | (grep-use-null-device, grep-find-command, grep-find-template): | |
97b2518e SM |
841 | Clarify role of grep-compute-defaults in docstrings. |
842 | Use grep-apply-setting to apply changes (Bug#3343). | |
d4bbd646 | 843 | |
d5a8ed10 GM |
844 | 2009-05-21 Glenn Morris <rgm@gnu.org> |
845 | ||
846 | * calendar/cal-move.el (calendar-forward-day): Fix 2008-06-21 change - | |
847 | always move cursor to new date. (Bug#3338) | |
848 | ||
24d2266c SM |
849 | 2009-05-20 Stefan Monnier <monnier@iro.umontreal.ca> |
850 | ||
851 | * textmodes/tex-mode.el (tex-uptodate-p): Accept [1{/var/foo}] as | |
852 | a page number. | |
853 | ||
41c40183 JR |
854 | 2009-05-20 Jason Rumney <jasonr@gnu.org> |
855 | ||
856 | * files.el (locate-dominating-stop-dir-regexp): Add terminal | |
857 | directory separator to UNC path case (Bug#3252). | |
858 | ||
4b77f8a3 CY |
859 | 2009-05-20 Chong Yidong <cyd@stupidchicken.com> |
860 | ||
24d2266c SM |
861 | * international/encoded-kb.el (encoded-kbd-setup-display): |
862 | Change DISPLAY arg to TERMINAL. | |
4b77f8a3 CY |
863 | |
864 | * international/mule.el (set-terminal-coding-system) | |
865 | (set-keyboard-coding-system): Change DISPLAY arg to TERMINAL. | |
866 | ||
30d01cdc AM |
867 | 2009-05-19 Alan Mackenzie <acm@muc.de> |
868 | ||
24d2266c SM |
869 | * progmodes/cc-mode.el (c-extend-and-neutralize-CPP-line): |
870 | Rename from c-neutralize-syntax-in-CPP. Set the variables c-new-BEG | |
871 | and c-new-END, thus extending the font-lock region. | |
872 | (c-font-lock-init): Don't set obsolete variable font-lock-lines-before. | |
30d01cdc AM |
873 | |
874 | * progmodes/cc-langs.el (c-before-font-lock-function): Rename a | |
875 | value to c-extend-and-neutralize-syntax-in-CPP. | |
876 | ||
3a92c095 KH |
877 | 2009-05-19 Kenichi Handa <handa@m17n.org> |
878 | ||
879 | * faces.el (set-face-attribute): Fix handling of :family | |
880 | "FOUNDRY-FAMILY". | |
881 | ||
5858bcc4 CY |
882 | 2009-05-18 Chong Yidong <cyd@stupidchicken.com> |
883 | ||
884 | * register.el (register-alist): Doc fix (Bug#3311). | |
885 | ||
4df49ff1 RS |
886 | 2009-05-18 Richard M Stallman <rms@gnu.org> |
887 | ||
0b508a27 RS |
888 | * progmodes/compile.el (compilation-filter): If inserting at end |
889 | of accessible part of buffer, keep end of output visible. | |
890 | ||
4df49ff1 RS |
891 | * mail/rmail.el (rmail-expunge-confirmed): Return nil if nothing to do. |
892 | Don't call rmail-modify-format here. | |
893 | (rmail-expunge): Call it here after expunge is confirmed. | |
894 | (rmail-confirm-expunge): Doc fix. Mark as risky. | |
895 | (rmail-convert-babyl-to-mbox): Call rmail-modify-format. | |
896 | (rmail-set-attribute): Call rmail-dont-modify-format only for `unseen'. | |
897 | For other attributes, call `rmail-modify-format', | |
898 | and propagate the modified flag to rmail-buffer. | |
899 | ||
f5b574ad RS |
900 | 2009-05-17 Richard M Stallman <rms@gnu.org> |
901 | ||
902 | * mail/rmailedit.el (rmail-edit-current-message): | |
903 | Call rmail-modify-format here. | |
904 | (rmail-cease-edit): Not here. | |
905 | ||
2793b89e SM |
906 | 2009-05-17 Stefan Monnier <monnier@iro.umontreal.ca> |
907 | ||
908 | * vc-bzr.el (vc-bzr-state-heuristic): Fallback on vc-bzr-state in case | |
909 | of any kind of error (e.g. when "sha1sum" is not found). | |
910 | ||
19998f14 MR |
911 | 2009-05-15 Martin Rudalics <rudalics@gmx.at> |
912 | ||
913 | * dired.el (dired-pop-to-buffer): Try to make this behave the | |
914 | Emacs 22 way (Bug#1806). | |
915 | ||
92e02072 CY |
916 | 2009-05-14 Chong Yidong <cyd@stupidchicken.com> |
917 | ||
918 | * frame.el (minibuffer-frame-alist): Doc fix (Bug#3276). | |
919 | ||
7951ca53 CY |
920 | 2009-05-12 Chong Yidong <cyd@stupidchicken.com> |
921 | ||
922 | * tutorial.el (help-with-tutorial): Don't use text mode, since the | |
b39d28c9 CY |
923 | tutorial text assume Fundamental mode. Use TUTORIAL.xx for the |
924 | tutorial buffer, since that is what the tutorial text assumes. | |
7951ca53 | 925 | |
a9e3ff69 SM |
926 | 2009-05-11 Stefan Monnier <monnier@iro.umontreal.ca> |
927 | ||
928 | * simple.el (completion-setup-function): | |
929 | Only modify the default-directory in *Completions* (bug#3250). | |
930 | Take partial-completion into account when setting default-directory. | |
931 | ||
143770f2 CY |
932 | 2009-05-10 Chong Yidong <cyd@stupidchicken.com> |
933 | ||
934 | * emacs-lisp/cl-macs.el (lexical-let*): Doc fix (Bug#3178). | |
935 | ||
11c238b3 KS |
936 | 2009-05-10 Kim F. Storm <storm@cua.dk> |
937 | ||
938 | * ido.el: Add proper support for confirm-nonexistent-file-or-buffer | |
939 | values `confirm' and `confirm-after-completion'. | |
940 | (ido-read-internal, ido-buffer-internal, ido-file-internal): | |
941 | Setup and handle require-match arg according to c-n-f-o-b. | |
942 | (ido-show-confirm-message): New dynamic variable. | |
943 | (ido-exit-minibuffer): Request confirmation for non-existing file | |
944 | or buffer according to confirm-nonexistent-file-or-buffer. | |
945 | (ido-decorations): Add 11th element for "confirm" message. | |
946 | (ido-completions): Show confirmation message when requested. | |
947 | ||
3909e3a3 KH |
948 | 2009-05-08 Kenichi Handa <handa@m17n.org> |
949 | ||
a9e3ff69 SM |
950 | * international/encoded-kb.el (encoded-kbd-setup-keymap): |
951 | * international/mule-diag.el (describe-coding-system): Fix for big5. | |
3909e3a3 | 952 | |
8b10a2d1 MR |
953 | 2009-05-07 Martin Rudalics <rudalics@gmx.at> |
954 | ||
955 | * window.el (split-window-sensibly): New function. | |
956 | (split-height-threshold, split-width-threshold): State in | |
a9e3ff69 SM |
957 | doc-string that these affect split-window-sensibly. |
958 | Change customization subtype from number to integer. | |
8b10a2d1 | 959 | (window--splittable-p): Rename to window-splittable-p since it's |
a9e3ff69 | 960 | referred to in doc-string of split-window-sensibly. Update doc-string. |
8b10a2d1 MR |
961 | (window--try-to-split-window): Unconditionally call |
962 | split-window-preferred-function and move splitting functionality | |
963 | to split-window-sensibly (Bug#3142). | |
a9e3ff69 SM |
964 | (split-window-preferred-function): Rewrite doc-string. |
965 | Don't allow nil as customization type. | |
8b10a2d1 | 966 | |
8c61dd01 CY |
967 | 2009-05-07 Chong Yidong <cyd@stupidchicken.com> |
968 | ||
969 | * faces.el (x-handle-named-frame-geometry): Ignore errors from | |
970 | x-get-resource due to not yet opened X connection. This is a | |
971 | temporary workaround for Bug#3194. | |
972 | ||
e6c01f09 SM |
973 | 2009-05-05 Bob Rogers <rogers-emacs@rgrjr.dyndns.org> (tiny change) |
974 | ||
975 | * vc-svn.el (vc-svn-parse-status): ?D is for removed files (bug#3213). | |
976 | ||
97355c38 SM |
977 | 2009-05-05 Stefan Monnier <monnier@iro.umontreal.ca> |
978 | ||
979 | * vc.el (vc-delete-file): Add autoload cookie (bug#3209). | |
980 | ||
22d47d5e CY |
981 | 2009-05-04 Miles Bader <miles@gnu.org> |
982 | ||
983 | * emacs-lisp/bindat.el (bindat-pack): Allocate and set a string | |
984 | directly (Bug#2878). | |
985 | ||
2b4e72e1 JB |
986 | 2009-05-03 Juanma Barranquero <lekktu@gmail.com> |
987 | ||
988 | * term/ns-win.el (ns-alternatives-map, ns-insert-working-text) | |
989 | (ns-echo-working-text, ns-utf8-nfd-post-read-conversion) | |
990 | (ns-insert-text, ns-insert-file, ns-find-file, generate-fontset-menu) | |
991 | (mouse-set-font, ns-respond-to-change-font, ns-standard-fontset-spec) | |
992 | (ns-set-background-alpha, ns-set-foreground-at-mouse) | |
993 | (ns-set-background-at-mouse): Fix typos in docstrings. | |
994 | ||
50f13b3e DU |
995 | 2009-05-03 Daiki Ueno <ueno@unixuser.org> |
996 | ||
de22b81d DU |
997 | * epg.el (epg-wait-for-completion): Sleep after the process |
998 | exits, to allow process-filter to run (Bug#2412). | |
999 | ||
50f13b3e DU |
1000 | * epa.el (epa--read-signature-type): Fix typo. |
1001 | ||
1d3c7fd6 GM |
1002 | 2009-05-02 Glenn Morris <rgm@gnu.org> |
1003 | ||
1004 | * wid-edit.el (widget-specify-field, widget-field-value-get, character): | |
1005 | Revert 2009-03-24 change, since it causes a more serious problem than | |
1006 | the one it solves. (Closes Bug#3136, reopens Bug#2689.) | |
1007 | ||
36fc0932 MR |
1008 | 2009-05-02 Martin Rudalics <rudalics@gmx.at> |
1009 | ||
1010 | * frame.el (pop-up-frame-function): Remove choice nil since it | |
1011 | does not represent a valid value. | |
1012 | ||
9250c1e8 DR |
1013 | 2009-04-30 David Reitter <david.reitter@gmail.com> |
1014 | ||
1015 | * term/ns-win.el (ns-make-command-string, ns-grabenv) | |
1016 | (ns-extended-platform-support-mode, menu-bar-ns-file-menu) | |
1017 | (ns-arrange-all-frames, ns-arrange-visible-frames) | |
35f5b128 | 1018 | (ns-arrange-frames): Remove. These functionalities not |
9250c1e8 DR |
1019 | available on other (free) platforms will be provided to users |
1020 | outside the Emacs project in the "ns-platform-support" package. | |
1021 | ||
9e95e9f4 AM |
1022 | 2009-04-30 Alan Mackenzie <acm@muc.de> |
1023 | ||
1024 | Enhancements for Objective-C: | |
1025 | * progmodes/cc-vars.el (c-objc-method-arg-min-delta-to-bracket) | |
1026 | (c-objc-method-arg-unfinished-offset) | |
1027 | (c-objc-method-parameter-offset): New variables. | |
1028 | (c-offsets-alist): Use c-lineup-ObjC-method-call-colons in entry | |
1029 | for objc-method-call-cont. | |
1030 | ||
1031 | * progmodes/cc-langs.el (c-constant-kwds): New ObjC keywords | |
1032 | "YES", "NO", "NS_DURING", "NS_HANDLER", "NS_ENDHANDLER". | |
1033 | ||
a9e3ff69 SM |
1034 | * progmodes/cc-align.el (c-lineup-ObjC-method-call-colons): |
1035 | New function. | |
9e95e9f4 | 1036 | |
753bc4f6 CY |
1037 | 2009-04-29 Chong Yidong <cyd@stupidchicken.com> |
1038 | ||
1039 | * subr.el (assoc-default): Doc fix. | |
1040 | ||
91f68422 CY |
1041 | 2009-04-29 Ulrich Mueller <ulm@gentoo.org> |
1042 | ||
1043 | * files.el (hack-local-variables-prop-line) | |
a9e3ff69 SM |
1044 | (hack-local-variables, dir-locals-read-from-file): |
1045 | Bind read-circle to nil before reading. | |
91f68422 | 1046 | |
1c6bf196 CY |
1047 | 2009-04-28 Geert Kloosterman <g.j.kloosterman@gmail.com> (tiny change) |
1048 | ||
1049 | * progmodes/which-func.el (which-function): Don't assume that | |
1050 | entries from `imenu--index-alist' are ordered by buffer position, | |
1051 | which fails when submenus are present (Bug#3153). | |
1052 | ||
afa13c4a SM |
1053 | 2009-04-28 Stefan Monnier <monnier@iro.umontreal.ca> |
1054 | ||
1055 | * international/quail.el (quail-vunion): Remove unexplained nreverse. | |
1056 | ||
a2ced5a9 NR |
1057 | 2009-04-28 Nick Roberts <nickrob@snap.net.nz> |
1058 | ||
1059 | * progmodes/gdb-ui.el (gdb-tooltip-print): Avoid "Non-X frame used" | |
1060 | error with gud-tooltip-mode in the Linux console. | |
1061 | ||
68a4b77d CY |
1062 | 2009-04-28 Chong Yidong <cyd@stupidchicken.com> |
1063 | ||
1064 | * tooltip.el (tooltip-show-help): Fall back on | |
1065 | tooltip-show-help-non-mode for text-only displays. | |
1066 | ||
7048562d JB |
1067 | 2009-04-27 Jay Belanger <jay.p.belanger@gmail.com> |
1068 | ||
1069 | * calc/calc.el (calc-mode): Replace `copy-list' with `copy-sequence'. | |
1070 | ||
26361eae JB |
1071 | 2009-04-26 Jay Belanger <jay.p.belanger@gmail.com> |
1072 | ||
1073 | * calc/calc.el (calc-set-mode-line): Check to make sure that the | |
1074 | correct Calc buffer gets "Embed" in the mode line. | |
1075 | (calc-mode): Copy stack list for additional Calc buffers. | |
1076 | ||
4a0c0061 CY |
1077 | 2009-04-25 Chong Yidong <cyd@stupidchicken.com> |
1078 | ||
624a662f CY |
1079 | * simple.el (line-move-visual): If point is stuck moving backwards |
1080 | against a display string, temporarily ignore the goal | |
1081 | column (Bug#3020). | |
1082 | ||
4a0c0061 CY |
1083 | * startup.el (normal-top-level): Implement a work-around to handle |
1084 | changes to face-font-rescale-alist during | |
1085 | initialization (Bug#1785). | |
1086 | ||
65a099b6 MA |
1087 | 2009-04-24 Michael Albinus <michael.albinus@gmx.de> |
1088 | ||
1089 | * net/tramp.el (tramp-handle-directory-files-and-attributes-with-stat) | |
1090 | (tramp-handle-file-name-all-completions): Don't use "-b" as ls | |
1091 | arg. It does not work on OpenBSD. Reported by Taylor Venable | |
1092 | <taylor@metasyntax.net>. | |
1093 | ||
47474951 CY |
1094 | 2009-04-23 Chong Yidong <cyd@stupidchicken.com> |
1095 | ||
1096 | * hi-lock.el (hi-lock--inhibit-font-lock-hook): New var. | |
1097 | (hi-lock-set-pattern, hi-lock-font-lock-hook): Use it (Bug#3068). | |
1098 | ||
5dadb083 MR |
1099 | 2009-04-21 Martin Rudalics <rudalics@gmx.at> |
1100 | ||
1101 | * diff-mode.el (diff-find-source-location): Don't call | |
19a4c504 | 1102 | diff-sanity-check-hunk when NOPROMPT is non-nil. (Bug#3033) |
5dadb083 | 1103 | |
4c7d14b3 KH |
1104 | 2009-04-21 Kenichi Handa <handa@m17n.org> |
1105 | ||
1106 | * international/uni-decomposition.el: Re-generated. | |
1107 | ||
f67cf064 RW |
1108 | 2009-04-20 Roland Winkler <Roland.Winkler@physik.uni-erlangen.de> |
1109 | ||
1110 | * proced.el (proced-sort): Declare it buffer-local. | |
1111 | (proced-send-signal): Handle non-nil signals. | |
1112 | ||
c3f9cd46 JR |
1113 | 2009-04-18 Jason Rumney <jasonr@gnu.org> |
1114 | ||
1115 | * facemenu.el (list-colors-duplicates): w32-default-color-map is | |
1116 | a function (Bug#3044). | |
1117 | ||
68a0d892 DU |
1118 | 2009-04-18 ARISAWA Akihiro <ari@mbf.ocn.ne.jp> |
1119 | ||
afa13c4a SM |
1120 | * epa-file.el (epa-file-decode-and-insert): |
1121 | Use string-to-multibyte instead of set-buffer-multibyte. | |
68a0d892 DU |
1122 | <http://sourceforge.jp/ticket/browse.php?group_id=2267&tid=15259> |
1123 | ||
d8937064 CY |
1124 | 2009-04-18 Yann Hodique <yann.hodique@gmail.com> (tiny change) |
1125 | ||
1126 | * net/rcirc.el (rcirc): Use correct property names (Bug#3037). | |
1127 | ||
965b9376 CY |
1128 | 2009-04-18 Chong Yidong <cyd@stupidchicken.com> |
1129 | ||
afa13c4a SM |
1130 | * thingatpt.el (thing-at-point-bounds-of-list-at-point): |
1131 | New function (Bug#3027). | |
965b9376 | 1132 | |
293a9e7a KH |
1133 | 2009-04-17 Kenichi Handa <handa@m17n.org> |
1134 | ||
1135 | * international/uni-decomposition.el: Re-generated. | |
1136 | ||
873f4645 CY |
1137 | 2009-04-16 Chong Yidong <cyd@stupidchicken.com> |
1138 | ||
1139 | * textmodes/flyspell.el (flyspell-correct-word-before-point): | |
1140 | Don't create markers. | |
afa13c4a SM |
1141 | (tex-mode-flyspell-verify): Don't create markers. |
1142 | Use line-end-position. | |
873f4645 CY |
1143 | (sgml-mode-flyspell-verify): Don't create markers. Simplify code |
1144 | using looking-at and looking-back. | |
1145 | ||
05fcb8da CY |
1146 | 2009-04-16 Robert Brown <brown@google.com> (tiny change) |
1147 | ||
1148 | * emacs-lisp/lisp-mode.el: Give `deftype' a doc-string-elt | |
1149 | property (Bug#2984). | |
1150 | ||
23e41d15 CY |
1151 | 2009-04-16 Leo <sdl.web@gmail.com> (tiny change) |
1152 | ||
1153 | * ediff-wind.el (ediff-make-wide-display): Fix typo in frame | |
1154 | parameter alist. | |
1155 | ||
3d0dd8ff CY |
1156 | 2009-04-15 William Xu <william.xwl@gmail.com> |
1157 | ||
1158 | * outline.el (hide-sublevels): Ensure that arguments are passed to | |
1159 | outline-flag-region in the correct order (Bug#3000). | |
1160 | ||
dee8ac10 CY |
1161 | 2009-04-15 Katsumi Yamaoka <yamaoka@jpl.org> |
1162 | ||
1163 | * net/browse-url.el (browse-url-filename-alist): Correct file | |
1164 | URI (Bug#2922). | |
1165 | ||
7beba943 CY |
1166 | 2009-04-15 Chong Yidong <cyd@stupidchicken.com> |
1167 | ||
1168 | * subr.el (posn-col-row): Properly compute line spacing. | |
1169 | Suggested by Nikolaj Schumacher (Bug#2933). | |
1170 | ||
b0f439fc UJ |
1171 | 2009-04-15 Ulf Jasper <ulf.jasper@web.de> |
1172 | ||
1173 | * net/newst-treeview.el (newsticker-treeview-jump): Enable virtual | |
1174 | feeds. | |
1175 | ||
524a655d DN |
1176 | 2009-04-15 Dan Nicolaescu <dann@ics.uci.edu> |
1177 | ||
afa13c4a SM |
1178 | * vc-svn.el (vc-svn-after-dir-status): Fix regexp to allow for file |
1179 | names with leading spaces. Ignore "." if it appears as a filename. | |
524a655d | 1180 | |
ade29c44 JB |
1181 | 2009-04-14 Juanma Barranquero <lekktu@gmail.com> |
1182 | ||
1183 | * help-at-pt.el (help-at-pt-kbd-string): Reflow docstring. | |
1184 | (scan-buf-previous-region): Fix typo in docstring. | |
1185 | ||
6dea7173 JB |
1186 | 2009-04-14 Edward Wiebe <usenet@pusto.de> (tiny change) |
1187 | ||
1188 | * jit-lock.el (jit-lock-stealth-chunk-start): Fix typo in docstring. | |
1189 | ||
e09349c5 MA |
1190 | 2009-04-14 Michael Albinus <michael.albinus@gmx.de> |
1191 | ||
1192 | * net/tramp.el (tramp-handle-dired-recursive-delete-directory): | |
1193 | Fix an error in flushing cache data. | |
1194 | (tramp-handle-process-file): Flush all file cache values for the | |
1195 | connection, because the remote process could have changed them. | |
1196 | Suggested by Stefan Monnier <monnier@iro.umontreal.ca>. | |
1197 | Handle QUIT. | |
1198 | ||
1199 | * net/tramp-cmds.el (tramp-cleanup-connection): Flush cache data | |
1200 | of directory "". | |
1201 | ||
1afbbf85 SM |
1202 | 2009-04-14 Stefan Monnier <monnier@iro.umontreal.ca> |
1203 | ||
1204 | * minibuffer.el (completion--try-word-completion): Don't disable | |
1205 | `partial-completion' any more. Mark the added char instead. | |
1206 | (completion-pcm--string->pattern): Notice chars added by | |
afa13c4a | 1207 | completion--try-word-completion and treat them specially. (bug#2957) |
1afbbf85 | 1208 | |
df93e513 JR |
1209 | 2009-04-13 Jason Rumney <jasonr@gnu.org> |
1210 | ||
1211 | * faces.el (frame-set-background-mode): Window system frames | |
a94b978f | 1212 | default to light. Use default in cases where specified color |
df93e513 JR |
1213 | does not exist (Bug#2969). |
1214 | ||
759f43a0 KH |
1215 | 2009-04-13 Kenichi Handa <handa@m17n.org> |
1216 | ||
1afbbf85 | 1217 | * language/japanese.el (cp932): Delete alias for japanese-shift-jis. |
759f43a0 | 1218 | |
5ab38c3c MA |
1219 | 2009-04-12 Michael Albinus <michael.albinus@gmx.de> |
1220 | ||
1afbbf85 SM |
1221 | * net/tramp.el (tramp-do-copy-or-rename-file-directly): |
1222 | Overwrite always the tmpfile. (Bug#2962). | |
5ab38c3c | 1223 | |
9291a2d6 CY |
1224 | 2009-04-11 Chong Yidong <cyd@stupidchicken.com> |
1225 | ||
cf66a343 GM |
1226 | * files.el (dir-locals-directory-cache): Rename from |
1227 | dir-locals-directory-alist. Change format to include | |
25760acb CY |
1228 | the mtime of the directory-local variables file (Bug#2833). |
1229 | (dir-locals-set-directory-class): New arg mtime. Store it in | |
1230 | dir-locals-directory-cache. | |
1231 | (dir-locals-find-file): Check cache validity using the mtime. | |
1232 | (dir-locals-read-from-file): Save the file mtime in the cache. | |
1233 | (hack-dir-local-variables): Adapt to new | |
1234 | dir-locals-directory-cache entry format. | |
1235 | ||
1afbbf85 SM |
1236 | * international/mule-diag.el (describe-font-internal): |
1237 | Rename ignored argument to IGNORED. | |
9291a2d6 CY |
1238 | (describe-font): Elide unnecessary argument to |
1239 | describe-font-internal (Bug#2945). | |
1240 | ||
2399e875 RS |
1241 | 2009-04-10 Richard M Stallman <rms@gnu.org> |
1242 | ||
4f31ad74 RS |
1243 | * mail/rmailedit.el (rmail-cease-edit): Call rmail-modify-format. |
1244 | ||
cd093dcf | 1245 | * vc-dir.el (vc-dir): Doc fix. |
2399e875 | 1246 | |
91034865 EZ |
1247 | 2009-04-10 Eli Zaretskii <eliz@gnu.org> |
1248 | ||
71d8a140 EZ |
1249 | * mail/unrmail.el (unrmail): If "Mail-From" header is found, |
1250 | append a newline to it. | |
1251 | ||
91034865 EZ |
1252 | * mail/rmail.el (rmail-unrmail-new-mail) |
1253 | (rmail-unrmail-new-mail-maybe): New functions. | |
1254 | (rmail-insert-inbox-text): Use rmail-unrmail-new-mail-maybe to | |
1255 | convert Babyl-formatted new mail to mbox format. (Bug#2942) | |
1256 | ||
c872c51e KS |
1257 | 2009-04-09 Kim F. Storm <storm@cua.dk> |
1258 | ||
1259 | * emulation/cua-rect.el (cua--indent-rectangle): Insert tabs using | |
1260 | tab-to-tab-stop to respect indent-tabs-mode. | |
1261 | ||
caf9510c RS |
1262 | 2009-04-09 Richard M Stallman <rms@gnu.org> |
1263 | ||
1d3c7fd6 | 1264 | * mail/rmailkwd.el (rmail-set-label): Warn if multiple labels spec'd. |
6dd50fed RS |
1265 | (rmail-kill-label, rmail-add-label): Doc fixes. |
1266 | ||
de62d9e9 RS |
1267 | * mail/rmailout.el (rmail-output): If file is visited, |
1268 | check the buffer's format. | |
1269 | ||
f824857f RS |
1270 | * mail/rmailout.el (rmail-convert-to-babyl-format): Don't set "unseen". |
1271 | ||
9aadce25 | 1272 | * mail/rmailout.el (rmail-output-to-rmail-buffer): |
f824857f | 1273 | Call rmail-modify-format. |
9aadce25 RS |
1274 | |
1275 | Don't query before visiting a Babyl file. | |
1276 | Instead, query before modifications that would save it. | |
1277 | ||
1278 | * mail/rmail.el (rmail-was-converted): New variable. | |
1279 | (rmail-seriously-modified): New variable. | |
1280 | (rmail-perm-variables): Set them to nil. | |
1281 | (rmail-convert-babyl-to-mbox): Don't query about converting Babyl file. | |
1282 | Instead, set rmail-was-converted. | |
1283 | (rmail-modify-format): New function. Query if save will change format. | |
1284 | (rmail-dont-modify-format): New function. | |
1285 | (rmail-duplicate-message, rmail-get-new-mail): | |
1286 | Call rmail-modify-format. | |
1287 | (rmail-set-header): Call rmail-dont-modify-format. | |
1288 | (rmail-set-attribute): Likewise. | |
1289 | (rmail-expunge-confirmed): Call rmail-modify-format. | |
1290 | ||
caf9510c RS |
1291 | * mail/sendmail.el (sendmail-send-it): Replace any |
1292 | pre-existing Content-type header if we insert one. | |
1293 | ||
49096407 MA |
1294 | 2009-04-09 Michael Albinus <michael.albinus@gmx.de> |
1295 | ||
1afbbf85 | 1296 | * net/tramp.el (tramp-file-name-handler-alist): Add `vc-registered'. |
a94b978f | 1297 | (tramp-handle-vc-registered): New defun. (Bug#1741) |
49096407 MA |
1298 | |
1299 | * net/tramp-cache.el (tramp-flush-directory-property): Use the | |
1300 | localname of KEY for check. | |
1301 | ||
3b920650 KH |
1302 | 2009-04-09 Kenichi Handa <handa@m17n.org> |
1303 | ||
1304 | * international/charprop.el: Re-generated. | |
1305 | * international/uni-bidi.el: Re-generated. | |
1306 | * international/uni-category.el: Re-generated. | |
1307 | * international/uni-combining.el: Re-generated. | |
1308 | * international/uni-decomposition.el: Re-generated. | |
1309 | * international/uni-mirrored.el: Re-generated. | |
1310 | * international/uni-name.el: Re-generated. | |
1311 | ||
92655f6c EZ |
1312 | 2009-04-08 Eli Zaretskii <eliz@gnu.org> |
1313 | ||
d8c0d419 EZ |
1314 | * files.el (append-to-file): Doc fix. |
1315 | ||
9993f59a EZ |
1316 | * term/pc-win.el (msdos-last-help-message): New defvar. |
1317 | (msdos-show-help): Use it to avoid saving the last message if it | |
1318 | was also a help message (Bug#2895). | |
1319 | ||
92655f6c EZ |
1320 | * mail/rmail.el (rmail-simplified-subject): Normalize whitespace |
1321 | in subject. This resurrects a feature, lost in transition to | |
1322 | mbox, whereby Subject is matched even if it's broken into several | |
1323 | lines at arbitrary places. | |
1324 | ||
11ce2c34 NR |
1325 | 2009-04-08 Nick Roberts <nickrob@snap.net.nz> |
1326 | ||
1327 | * progmodes/gdb-ui.el: Give hint for GDB in Emacs on Mac OSX. | |
1328 | ||
157bca46 CY |
1329 | 2009-04-08 Chong Yidong <cyd@stupidchicken.com> |
1330 | ||
1331 | * textmodes/fill.el (fill-paragraph): Doc fix. | |
1332 | ||
4ffdb307 CY |
1333 | 2009-04-07 Chong Yidong <cyd@stupidchicken.com> |
1334 | ||
1335 | * vc-bzr.el (vc-bzr-log-view-mode): Fix last fix. | |
1336 | ||
384b53ab GM |
1337 | 2009-04-07 Glenn Morris <rgm@gnu.org> |
1338 | ||
73f6360c GM |
1339 | * term/ns-win.el (ns-pop-up-frames): Make it a defcustom. |
1340 | ||
384b53ab GM |
1341 | * mail/rmail.el (rmail-expunge-and-save): Let rmail-expunge show the |
1342 | message. | |
1343 | (rmail-quit): Mark summary unmodified. | |
1344 | (rmail-expunge): Doc fix. Always show a message. (Bug#2763) | |
1345 | ||
e7621494 CY |
1346 | 2009-04-07 Chong Yidong <cyd@stupidchicken.com> |
1347 | ||
2793b89e SM |
1348 | * vc-bzr.el (vc-bzr-log-view-mode): |
1349 | Tweak log-view-message-re (Bug#2872). | |
71de5c37 | 1350 | |
2793b89e SM |
1351 | * descr-text.el (describe-property-list, describe-char): |
1352 | Add follow-link properties to buttons that need them. | |
707731ee CY |
1353 | |
1354 | * tooltip.el (tooltip-show-help-non-mode): Don't save the last | |
1355 | message if it was also a help message (Bug#2895). | |
1356 | ||
45cb4eb4 RW |
1357 | 2009-04-06 Roland Winkler <Roland.Winkler@physik.uni-erlangen.de> |
1358 | ||
1359 | * textmodes/bibtex.el (bibtex-format-entry) | |
2793b89e | 1360 | (bibtex-search-crossref): Allow OPT prefix for name of crossref field. |
45cb4eb4 | 1361 | |
45f8cb0c SS |
1362 | 2009-04-06 Sam Steingold <sds@gnu.org> |
1363 | ||
1364 | * simple.el (completion-list-mode-map): Bind "q" to quit-window, | |
1365 | just like in all other read-only buffers. | |
1366 | ||
337c561c CY |
1367 | 2009-04-05 Chong Yidong <cyd@stupidchicken.com> |
1368 | ||
1369 | * simple.el (handle-shift-selection): Clarify docstring. | |
1370 | ||
38145b39 MA |
1371 | 2009-04-05 Michael Albinus <michael.albinus@gmx.de> |
1372 | ||
1373 | * dired-aux.el (dired-copy-file-recursive): Set mode to "700" if | |
1374 | `file-modes' returns nil. | |
1375 | ||
1376 | * net/ange-ftp.el (ange-ftp-set-file-modes): New defun. Change | |
1377 | `ange-ftp' property of `set-file-modes' to | |
cd0d52bc | 1378 | `ange-ftp-set-file-modes' (Bug#2865). |
38145b39 MA |
1379 | (ange-ftp-call-chmod): Add error handling. |
1380 | ||
a94b978f | 1381 | * net/tramp.el (tramp-default-file-modes): New defun. Replace all |
cd0d52bc | 1382 | calls of `file-modes' by this (Bug#2869). |
38145b39 MA |
1383 | (tramp-handle-find-backup-file-name): Use `symbol-value' instead |
1384 | of `boundp'. | |
1385 | ||
1386 | * net/tramp-fish.el (tramp-fish-do-copy-or-rename-file-directly): | |
1387 | Use `tramp-default-file-modes'. | |
1388 | ||
d51f6378 CY |
1389 | 2009-04-04 Chong Yidong <cyd@stupidchicken.com> |
1390 | ||
1391 | * frame.el (make-frame): Doc fix. | |
1392 | ||
435f0dd2 EZ |
1393 | 2009-04-04 Eli Zaretskii <eliz@gnu.org> |
1394 | ||
1395 | * mail/rmailsum.el (rmail-new-summary-1): Don't show zero count of | |
1396 | summary lines. | |
1397 | ||
1be9bd1e CY |
1398 | 2009-04-02 Chong Yidong <cyd@stupidchicken.com> |
1399 | ||
1400 | * dired.el (dired-get-filename): Always pass filename through | |
1401 | `read' to ensure unquoting is performed (Bug#2862). | |
1402 | ||
96fe38a8 SM |
1403 | 2009-04-02 Stefan Monnier <monnier@iro.umontreal.ca> |
1404 | ||
1405 | * doc-view.el (doc-view-mode): Don't give up if the file doesn't exist. | |
1406 | ||
64b7c58a GM |
1407 | 2009-04-02 Glenn Morris <rgm@gnu.org> |
1408 | ||
1409 | * calendar/diary-lib.el (diary-cyclic): Check for +ve N. | |
1410 | ||
1411 | * calendar/holidays.el (calendar-holidays): | |
1412 | Don't autoload it. (Bug#2811) | |
1413 | ||
74fcd0b1 KH |
1414 | 2009-04-02 Kenichi Handa <handa@m17n.org> |
1415 | ||
98a326f7 SM |
1416 | * international/fontset.el (setup-default-fontset): |
1417 | Specify iso10646-1 font for characters in PUA of BMP. (Bug#2830) | |
74fcd0b1 | 1418 | |
74ab01ff SM |
1419 | 2009-04-02 Stefan Monnier <monnier@iro.umontreal.ca> |
1420 | ||
1421 | * simple.el (auto-fill-function): Mark it as safe for nil. | |
1422 | Suggested by Leo <sdl.web@gmail.com>. | |
1423 | ||
32ca5ee4 DN |
1424 | 2009-04-01 Dan Nicolaescu <dann@ics.uci.edu> |
1425 | ||
4a87f93e DN |
1426 | * vc-mtn.el (vc-mtn-register): Fix optional arguments. |
1427 | ||
32ca5ee4 | 1428 | * vc-hooks.el (vc-name): Avoid calling vc-backend twice. |
74ab01ff | 1429 | (vc-mode-line): Accept and use an optional argument for the backend. |
32ca5ee4 | 1430 | (vc-find-file-hook): Use when instead of if. Avoid calling |
74ab01ff | 1431 | vc-backend multiple times, pass down the value computed the first time. |
32ca5ee4 | 1432 | |
c21d4d1a AS |
1433 | 2009-03-30 Andreas Schwab <schwab@linux-m68k.org> |
1434 | ||
74ab01ff SM |
1435 | * ansi-color.el (ansi-color-get-face): |
1436 | Use ansi-color-parameter-regexp to match parameters. | |
c21d4d1a AS |
1437 | (ansi-color-regexp): Include final `m' in first group. |
1438 | ||
b90f9e54 JR |
1439 | 2009-03-30 Jason Rumney <jasonr@gnu.org> |
1440 | ||
1441 | * files.el (locate-dominating-stop-dir-regexp): Stop searching | |
1442 | when a UNC mount point is reached. | |
1443 | ||
fd7299fd JB |
1444 | 2009-03-30 Jay Belanger <jay.p.belanger@gmail.com> |
1445 | ||
1446 | * calc/calc-embed.el (calc-embedded-restore-original-modes): | |
1447 | Add argument for Calculator buffer. | |
1448 | (calc-do-embedded): Use specific Calculator buffer when cancelling | |
1449 | embedded mode. | |
1450 | ||
1451 | * calc/calc.el (calc-buffer-list): New variable. | |
1452 | (calc-kill-stack-buffer): New function. | |
1453 | (calc-mode): Add `calc-kill-stack-buffer' to | |
1454 | `kill-buffer-query-functions'. Add current buffer to | |
1455 | `calc-buffer-list'. | |
1456 | ||
be757201 KH |
1457 | 2009-03-30 Kenichi Handa <handa@m17n.org> |
1458 | ||
1459 | * language/chinese.el (chinese-big5): Change type to `big5'. | |
1460 | ||
c2ba9ee0 CY |
1461 | 2009-03-30 Chong Yidong <cyd@stupidchicken.com> |
1462 | ||
1463 | * help-mode.el (help-buffer): Add docstring. | |
1464 | ||
294a5fcd JB |
1465 | 2009-03-28 David Reitter <david.reitter@gmail.com> |
1466 | ||
1467 | * term/ns-win.el (ns-expand-space): Remove defvar. | |
1468 | (S-,): Bind to `customize' in line with menu item. | |
1469 | ([global-map windows]): Remove (in line with other platforms). | |
1470 | (menu-bar-update-frames, force-menu-bar-update-buffers): | |
1471 | Remove, not needed. | |
1472 | (menu-bar-update-hook): Do not alter. | |
1473 | (generate-fontset-menu, mouse-set-font): Amend doc string | |
5848d130 DR |
1474 | of these defaliases to point out their altered meaning in NS. |
1475 | ||
7f3130fc JL |
1476 | 2009-03-28 Juri Linkov <juri@jurta.org> |
1477 | ||
1478 | * simple.el (minibuffer-default-add-completions): | |
1479 | Remove `(interactive)'. (Bug#2805) | |
1480 | ||
7f94baf0 EZ |
1481 | 2009-03-28 Eli Zaretskii <eliz@gnu.org> |
1482 | ||
1483 | * files.el (shell-quote-wildcard-pattern): Doc fix. | |
1484 | ||
0c6af6dd EZ |
1485 | 2009-03-27 Eli Zaretskii <eliz@gnu.org> |
1486 | ||
1487 | * ls-lisp.el (ls-lisp-insert-directory): Allow for a trailing '/' | |
1488 | when looking for "." and "..". (Bug#2801) | |
1489 | ||
11ff3b67 AS |
1490 | 2009-03-27 Andreas Schwab <schwab@linux-m68k.org> |
1491 | ||
1492 | * simple.el (shift-select-mode): Make it a defcustom. | |
1493 | * cus-start.el: Remove shift-select-mode. | |
1494 | ||
84db11d6 SM |
1495 | 2009-03-26 Stefan Monnier <monnier@iro.umontreal.ca> |
1496 | ||
1497 | * simple.el (shift-select-mode): Move declaration from callint.c. | |
1498 | (handle-shift-selection): Remove `deactivate' arg and check | |
1499 | shift-select-mode instead. | |
1500 | ||
6c01cfb6 JB |
1501 | 2009-03-26 Juanma Barranquero <lekktu@gmail.com> |
1502 | ||
1503 | * align.el (align-large-region, align-perl-modes, align-rules-list) | |
1504 | (align-open-comment-modes): Fix typos in docstrings. | |
1505 | (align-region-separate): Doc fixes. | |
1506 | ||
3835d0d0 SM |
1507 | 2009-03-26 Stefan Monnier <monnier@iro.umontreal.ca> |
1508 | ||
1509 | * arc-mode.el (archive-ar-summarize): Don't burp on special GNU | |
1510 | extension entries for lookup tables or extended file name tables. | |
1511 | Distinguish the internal and external name, so lookup is easier. | |
1512 | (archive-ar-extract): Take advantage of more precise name. | |
1513 | Preserve point. | |
1514 | ||
a47e5745 CY |
1515 | 2009-03-25 Chong Yidong <cyd@stupidchicken.com> |
1516 | ||
1517 | * play/bubbles.el (bubbles): Doc fix (Bug#2776). | |
1518 | ||
1ce3d35b JB |
1519 | 2009-03-25 Juanma Barranquero <lekktu@gmail.com> |
1520 | ||
1521 | * composite.el (auto-compose-chars): Fix typo in docstring. | |
1522 | ||
8204704e MA |
1523 | 2009-03-24 Michael Albinus <michael.albinus@gmx.de> |
1524 | ||
1525 | * net/ange-ftp.el (ange-ftp-skip-msgs): Add 534. | |
1526 | ||
5e5b7cb1 GM |
1527 | 2009-03-24 Glenn Morris <rgm@gnu.org> |
1528 | ||
1529 | * wid-edit.el (widget-specify-field): Don't add a second overlay for a | |
1530 | field with just a newline character. | |
1531 | (widget-field-value-get): Don't reduce fields with just a space | |
1532 | character to null. | |
1533 | (character): Accept newline. (Bug#2689) | |
1534 | ||
553dd618 KH |
1535 | 2009-03-24 Kenichi Handa <handa@m17n.org> |
1536 | ||
1537 | * international/fontset.el (font-encoding-alist): Add an entry for | |
639239cf | 1538 | muletibetan-0. (Bug#2722) |
553dd618 | 1539 | |
be14a425 DN |
1540 | 2009-03-23 Dan Nicolaescu <dann@ics.uci.edu> |
1541 | ||
1542 | * vc-bzr.el (vc-bzr-working-revision): Add support for lightweight | |
1543 | checkouts. (Bug#2157) | |
1544 | (vc-bzr-after-dir-status): Ignore a warning for bzr status. | |
1545 | (vc-bzr-dir-extra-headers): Add headers for lightweight checkouts. | |
1546 | ||
551fd0c4 RS |
1547 | 2009-03-22 Richard M Stallman <rms@gnu.org> |
1548 | ||
8882002a RS |
1549 | * mail/rmail.el (rmail-expunge): Update summary buffer even if DONT-SHOW. |
1550 | (rmail-expunge): Without DONT-SHOW, show the message even after | |
1551 | updating summary. | |
551fd0c4 | 1552 | |
3deeb46b GM |
1553 | 2009-03-21 Jari Aalto <jari.aalto@cante.net> |
1554 | ||
1555 | * time.el (display-time-default-load-average): Doc fix. | |
1556 | ||
acc060e4 EZ |
1557 | 2009-03-21 Eli Zaretskii <eliz@gnu.org> |
1558 | ||
1559 | * simple.el (handle-shift-selection): Doc fix. (Bug#2738) | |
1560 | ||
bee48888 CY |
1561 | 2009-03-21 Chong Yidong <cyd@stupidchicken.com> |
1562 | ||
1563 | * files.el (file-modes-rights-to-number): Doc fix. | |
1564 | (file-modes-symbolic-to-number): Handle empty permissions | |
1565 | arg (Bug#2729). | |
1566 | ||
bd924903 DN |
1567 | 2009-03-21 Dan Nicolaescu <dann@ics.uci.edu> |
1568 | ||
1569 | * vc.el (Todo): Add note on simplifying vc-register. | |
1570 | ||
ce343c43 EZ |
1571 | 2009-03-21 Eli Zaretskii <eliz@gnu.org> |
1572 | ||
3835d0d0 SM |
1573 | * eshell/em-ls.el (eshell-ls-dir): |
1574 | Call eshell-directory-files-and-attributes with additional argument | |
ce343c43 EZ |
1575 | 'integer or 'string, according to numeric-uid-gid. |
1576 | (eshell-ls-file): Don't convert UID and GID to strings, as | |
1577 | eshell-ls-dir already did. Enlarge max user-name string length to | |
1578 | 14 characters. | |
1579 | (eshell-do-ls): Fix the long name of the -L option. | |
1580 | ||
1581 | * eshell/esh-util.el (directory-files-and-attributes): Accept and | |
1582 | ignore additional optional argument id-format. | |
3835d0d0 SM |
1583 | (eshell-directory-files-and-attributes): |
1584 | Call directory-files-and-attributes with additional argument id-format. | |
ce343c43 EZ |
1585 | |
1586 | * eshell/em-ls.el (eshell-ls-file): Enlarge default size-width to 8. | |
1587 | (eshell-ls-dir): Under -l, call eshell-ls-printable-size with last | |
1588 | argument nil. | |
1589 | ||
6dea7173 | 1590 | 2009-03-21 David Reitter <david.reitter@gmail.com> |
a065aa4a DR |
1591 | |
1592 | * cus-start.el (all): Use existing `ns' rather than new `nextstep' | |
1593 | group for variables affected by last two changes. | |
1594 | ||
cc15c0f2 | 1595 | 2009-03-21 Eli Zaretskii <eliz@gnu.org> |
bc9ebf41 | 1596 | |
cc15c0f2 EZ |
1597 | * cus-start.el (all): Fix last change. |
1598 | ||
6dea7173 | 1599 | 2009-03-21 David Reitter <david.reitter@gmail.com> |
cc15c0f2 EZ |
1600 | |
1601 | * cus-start.el (all): Add ns-control-modifier, | |
1602 | ns-command-modifier, ns-alternate-modifier, ns-function-modifier, | |
1603 | ns-antialias-text, and ns-use-qd-smoothing. | |
bc9ebf41 | 1604 | |
2bc9f1df DN |
1605 | 2009-03-20 Dan Nicolaescu <dann@ics.uci.edu> |
1606 | ||
1607 | * vc-dir.el (vc-dir-deduce-fileset): Make the error message more explicit. | |
1608 | ||
673e5169 JB |
1609 | 2009-03-19 Juanma Barranquero <lekktu@gmail.com> |
1610 | ||
1611 | * subr.el (forward-point): Add obsolescence declaration. | |
1612 | ||
1613 | * paren.el (show-paren-function): | |
1614 | * simple.el (kill-forward-chars, kill-backward-chars): | |
1615 | Use (+/- (point) N), instead of `forward-point'. | |
1616 | ||
33e38fa1 GM |
1617 | 2009-03-19 Glenn Morris <rgm@gnu.org> |
1618 | ||
1619 | * mail/rmail.el (rmail-retry-ignored-headers): Add :version tag. | |
1620 | ||
1621 | * mail/supercite.el (sc-version): Doc fix. | |
1622 | ||
241625c3 GM |
1623 | 2009-03-19 Leo <sdl.web@gmail.com> (tiny change) |
1624 | ||
1625 | * mail/supercite.el (sc-version): Fix typo in interactive spec. | |
1626 | ||
2c035993 KH |
1627 | 2009-03-19 Kenichi Handa <handa@m17n.org> |
1628 | ||
80197a8c JB |
1629 | * international/quail.el (quail-update-leim-list-file): |
1630 | Save leim-list.el in utf-8. | |
9ffcf5cb | 1631 | |
80197a8c JB |
1632 | * international/mule-cmds.el (leim-list-header): |
1633 | Use utf-8 coding tag. | |
9ffcf5cb | 1634 | |
80197a8c | 1635 | * term/ns-win.el: Call create-default-fontset, not |
2c035993 KH |
1636 | setup-default-fontset. Call create-fontset-from-fontset-spec |
1637 | within condition-case. | |
1638 | ||
80197a8c JB |
1639 | * term/w32-win.el (w32-initialize-window-system): |
1640 | Call create-default-fontset, not setup-default-fontset. | |
1641 | Call create-fontset-from-fontset-spec within condition-case. | |
2c035993 | 1642 | |
80197a8c JB |
1643 | * term/x-win.el (x-initialize-window-system): |
1644 | Call create-default-fontset, not setup-default-fontset. | |
1645 | Call create-fontset-from-fontset-spec within condition-case. | |
2c035993 KH |
1646 | |
1647 | * international/fontset.el (create-default-fontset): New function. | |
1648 | (create-fontset-from-x-resource): Use display-warning instead of | |
1649 | message. | |
1650 | ||
b6de8838 RS |
1651 | 2009-03-18 Richard M Stallman <rms@gnu.org> |
1652 | ||
1653 | * mail/rmail.el (rmail-retry-ignored-headers): Add usual mime headers. | |
1654 | (rmail-retry-failure): Undo previous change. | |
1655 | ||
37e3a2bf KH |
1656 | 2009-03-18 Kenichi Handa <handa@m17n.org> |
1657 | ||
0be8a343 JB |
1658 | * international/mule.el (after-insert-file-set-coding): |
1659 | Set buffer-file-coding-system directly without calling | |
1660 | set-buffer-file-coding-system. (Fixes bug described in | |
1661 | follow-up to bug#2317). | |
37e3a2bf | 1662 | |
e597afcb JB |
1663 | 2009-03-17 Juanma Barranquero <lekktu@gmail.com> |
1664 | ||
1665 | * emacs-lisp/benchmark.el (benchmark): Reflow docstring. | |
1666 | ||
44ded024 KH |
1667 | 2009-03-17 ARISAWA Akihiro <ari@mbf.ocn.ne.jp> (tiny change) |
1668 | ||
e597afcb JB |
1669 | * international/characters.el (cjk-char-width-table): |
1670 | Add cp932-2-byte. | |
44ded024 | 1671 | |
761023b6 CY |
1672 | 2009-03-17 Chong Yidong <cyd@stupidchicken.com> |
1673 | ||
1674 | * minibuffer.el (minibuffer-complete-and-exit): Doc fix. | |
1675 | ||
e597afcb | 1676 | 2009-03-16 David Reitter <david.reitter@gmail.com> |
4b7a7901 | 1677 | |
e597afcb JB |
1678 | * faces.el (set-face-attributes-from-resources) |
1679 | (face-set-after-frame-default): Do not import X resources on NS | |
4b7a7901 DR |
1680 | because NS does not have system-wide X resources. |
1681 | ||
0b9b4ab9 RS |
1682 | 2009-03-16 Richard M Stallman <rms@gnu.org> |
1683 | ||
1684 | * mail/rmail.el (rmail-retry-failure): Discard rmail-ignored-headers. | |
1685 | (rmail-expunge): Show the message only once, and only if needed. | |
1686 | If the current message was current before, just re-swap. | |
1687 | ||
945acc7b KH |
1688 | 2009-03-16 Kenichi Handa <handa@m17n.org> |
1689 | ||
1690 | * international/ccl.el (ccl-compile-if): Signal an error for | |
1691 | invalid operator. | |
1692 | ||
112b8d17 CY |
1693 | 2009-03-16 Chong Yidong <cyd@stupidchicken.com> |
1694 | ||
87979de8 CY |
1695 | * minibuffer.el (read-file-name): Doc fix. |
1696 | ||
17bc3e84 CY |
1697 | * tooltip.el (tooltip-show-help-non-mode): Don't set |
1698 | tooltip-previous-message if called with the same tooltip that is | |
1699 | showing (Bug#2680). | |
1700 | ||
112b8d17 CY |
1701 | * emacs-lisp/crm.el (crm--choose-completion-string): New function. |
1702 | (completing-read-multiple): Set and restore | |
1703 | choose-completion-string-functions (Bug#1080). | |
1704 | ||
4ad1654a GM |
1705 | 2009-03-15 Glenn Morris <rgm@gnu.org> |
1706 | ||
a20013c1 GM |
1707 | * calendar/cal-tex.el (cal-tex-preamble-extra): Add an example string |
1708 | value to help people with the formatting. | |
1709 | ||
4ad1654a GM |
1710 | * mail/sendmail.el (mail-yank-prefix): Doc fix. |
1711 | (mail-mode-map): Only enable the "Cite Original" menu-item when | |
1712 | appropriate. Standardize the text used for other headers re hyphens. | |
1713 | ||
1714 | * mail/rmail.el (rmail-font-lock-keywords): Treat "Mail-Reply-To" | |
1715 | like "Reply-To". | |
1716 | ||
80495f24 CY |
1717 | 2009-03-15 Chong Yidong <cyd@stupidchicken.com> |
1718 | ||
1719 | * minibuffer.el (completion-styles): Add emacs22 completion style | |
1720 | as fallback. | |
1721 | ||
01cf1a52 DN |
1722 | 2009-03-15 Dan Nicolaescu <dann@ics.uci.edu> |
1723 | ||
1724 | * vc.el (vc-checkin): Add an extra argument for the VC backend, | |
1725 | pass it down to vc-start-logentry. | |
1726 | (vc-next-action, vc-transfer-file): Pass the VC backend to | |
1727 | vc-checkin. | |
57b037f9 DN |
1728 | (vc-next-action): Do not assume that all backends in |
1729 | vc-handled-backends are upper case. | |
01cf1a52 | 1730 | |
9e127d66 CY |
1731 | 2009-03-15 Chong Yidong <cyd@stupidchicken.com> |
1732 | ||
1733 | * files.el (get-free-disk-space): Ensure that default-directory is | |
1734 | usable before calling call-process. Suggested by Kevin | |
1735 | Ryde (Bug#2631). | |
1736 | ||
c6c62e78 DR |
1737 | 2009-03-14 David Reitter <david.reitter@gmail.com> |
1738 | ||
d93f9575 CY |
1739 | * term/ns-win.el (ns-expand-space): Remove. |
1740 | (ns-show-prefs event): Run `customize'. | |
1741 | (ns-power-off): Run `save-buffers-kill-emacs', but ask user | |
7c310b24 | 1742 | whether to save files (as is standard). |
c6c62e78 | 1743 | (clipboard-yank, clipboard-kill-ring-save, clipboard-kill-region) |
d93f9575 | 1744 | (menu-bar-enable-clipboard): Do not undefine these. |
7c310b24 GM |
1745 | (ns-save-preferences, ns-save-options, ns-show-preferences-help): |
1746 | Remove. | |
c6c62e78 | 1747 | |
87ede499 GM |
1748 | 2009-03-14 Glenn Morris <rgm@gnu.org> |
1749 | ||
5b650c3c GM |
1750 | * mail/mailalias.el: Remove leading `*' from defcustom docs. |
1751 | ||
87ede499 GM |
1752 | * mail/sendmail.el (mail-interactive): Doc fix. |
1753 | (mail-yank-ignored-headers): Add X-RMAIL- headers, bump :version. | |
1754 | (mail-setup): Use the function mail-signature. | |
b769f3da | 1755 | (mail-mode-hook): Doc fix. |
87ede499 GM |
1756 | (mail-to, mail-subject, mail-cc, mail-bcc, mail-reply-to) |
1757 | (mail-mail-reply-to, mail-mail-followup-to): Doc fixes. | |
1758 | (mail-signature): Check the signature file is readable. | |
1759 | With the argument ATPOINT, really insert at point. | |
1760 | Handle the case when the variable mail-signature is an expression. | |
1761 | ||
dbe24f20 CY |
1762 | 2009-03-14 Chong Yidong <cyd@stupidchicken.com> |
1763 | ||
1764 | * simple.el (undo-outer-limit-truncate): Mention that | |
1765 | warning-suppress-types is defined in the warnings | |
1766 | library (Bug#2668). | |
1767 | ||
24703c3c DN |
1768 | 2009-03-14 Dan Nicolaescu <dann@ics.uci.edu> |
1769 | ||
1770 | * vc.el (vc-default-receive-file): The 'register method now | |
1771 | expects a list, pass a list. | |
1772 | ||
8f7019ed CY |
1773 | 2009-03-14 Chong Yidong <cyd@stupidchicken.com> |
1774 | ||
1775 | * doc-view.el (doc-view-sentinel): Strip trailing newline from | |
1776 | event message. | |
1777 | ||
86f0d932 SM |
1778 | 2009-03-14 Stefan Monnier <monnier@iro.umontreal.ca> |
1779 | ||
3ba41ce4 SM |
1780 | * tar-mode.el (tar-extract): Setup the buffer's name, undo-list, and |
1781 | modified status before running the major-mode. | |
1782 | ||
86f0d932 SM |
1783 | * simple.el (undo): Don't loop when encountering empty undo records. |
1784 | ||
4376876e TH |
1785 | 2009-03-13 Tassilo Horn <tassilo@member.fsf.org> |
1786 | ||
1787 | * doc-view.el (doc-view-mode-map): Bind RET to image-next-line. | |
1788 | ||
4537363c AJ |
1789 | 2009-03-13 Alexandre Julliard <julliard@winehq.org> |
1790 | ||
1791 | * vc-git.el (vc-git-previous-revision, vc-git-next-revision): | |
1792 | Fall back to original commit if vc-git-symbolic-commit fails to | |
1793 | find a symbolic name. | |
7dae1ce2 JB |
1794 | (vc-git-symbolic-commit): Don't limit search to tags. |
1795 | Treat "undefined" as an error. (Bug #2110) | |
4537363c | 1796 | |
f5fbd9ad DG |
1797 | 2009-03-13 D. Goel <deego3@gmail.com> |
1798 | ||
7dae1ce2 | 1799 | * ibuf-ext.el: |
dd0bed85 GM |
1800 | * midnight.el (midnight-next): |
1801 | * progmodes/ebrowse.el: | |
1802 | * progmodes/flymake.el (flymake-float-time): | |
1803 | When calling (multiple-value-bind/setq .. ls) replace ls | |
1804 | by (values-list ls) throughout. | |
75aeb229 | 1805 | |
0579b5b1 AM |
1806 | 2009-03-13 Agustín Martín <agustin.martin@hispalinux.es> |
1807 | ||
1808 | * textmodes/ispell.el (ispell-get-word): Initialize spellchecker | |
7dae1ce2 | 1809 | params. (Bug #2654) |
0579b5b1 | 1810 | |
dc4e3ccc SM |
1811 | 2009-03-13 Stefan Monnier <monnier@iro.umontreal.ca> |
1812 | ||
ccb012c5 SM |
1813 | * files.el (auto-mode-alist): Add rules for OpenOffice extension |
1814 | packages, as well as Opkg/Ipkg packages. | |
1815 | ||
dc4e3ccc SM |
1816 | * tar-mode.el (tar-header-block-tokenize): Presume less, check more. |
1817 | (tar-summarize-buffer): Don't silently skip incomplete headers. | |
1818 | (tar-mode): Revert to fundamental-mode in case of malformed tar data. | |
1819 | (tar-extract): Try to make sure set-auto-mode doesn't mistakenly | |
1820 | treat a tar file member as being a tar file itself, just because | |
1821 | its own filename includes the parent tar file's. | |
1822 | ||
d102151d KH |
1823 | 2009-03-13 Kenichi Handa <handa@m17n.org> |
1824 | ||
1825 | * international/mule-diag.el (print-fontset): Handling of the | |
1826 | argument FONTSET changed for consistency. Reorder the printed | |
1827 | information to match with the font searching strategy. | |
1828 | (describe-fontset): Use face-attribute to get the fontset of the | |
1829 | selected frame. | |
1830 | (mule-diag): Likewise. Print both font and fontset of the frame. | |
1831 | ||
f7e49cc5 CY |
1832 | 2009-03-13 Chong Yidong <cyd@stupidchicken.com> |
1833 | ||
1834 | * term.el (term-send-raw-string): Deactivate mark. | |
1835 | (term-send-raw, term-send-raw-meta): Undo 2009-03-08 change. | |
1836 | ||
1a86cc81 JB |
1837 | 2009-03-12 Juanma Barranquero <lekktu@gmail.com> |
1838 | ||
1839 | * register.el (get-register): Rename arg REG to REGISTER for | |
1840 | consistency with other register functions. | |
1841 | (set-register, jump-to-register): Fix typos in docstrings. | |
1842 | (copy-to-register, copy-rectangle-to-register): Reflow docstrings. | |
1843 | ||
1fa1ea7d GM |
1844 | 2009-03-12 Glenn Morris <rgm@gnu.org> |
1845 | ||
1846 | * mail/mailabbrev.el (mail-abbrev-next-line) | |
1847 | (mail-abbrev-end-of-buffer): Doc fixes. | |
1848 | ||
46033340 JR |
1849 | 2009-03-11 Jason Rumney <jasonr@gnu.org> |
1850 | ||
1851 | * term/w32-win.el (w32-initialize-window-system): Don't override | |
1852 | Japanese font settings. | |
31358bee JR |
1853 | (xlfd-regexp-registry-subnum): Remove unused declaration. |
1854 | (w32-drag-n-drop-debug): Comment out unused debug function. | |
46033340 | 1855 | |
52b9c461 GM |
1856 | 2009-03-11 Glenn Morris <rgm@gnu.org> |
1857 | ||
1858 | * mail/rmailedit.el (rmail-edit-mode): | |
1859 | Set write-region-annotate-functions. (Bug#2625) | |
1860 | ||
7679cfc8 JB |
1861 | 2009-03-10 Juanma Barranquero <lekktu@gmail.com> |
1862 | ||
1863 | * w32-vars.el (w32-list-proportional-fonts): Fix typos in last change. | |
1864 | ||
86dca3e8 JR |
1865 | 2009-03-10 Jason Rumney <jasonr@gnu.org> |
1866 | ||
1867 | * w32-vars.el (w32-list-proportional-fonts): Make obsolete. | |
1868 | ||
bba79a9c SM |
1869 | 2009-03-10 Stefan Monnier <monnier@iro.umontreal.ca> |
1870 | ||
ba528748 SM |
1871 | * server.el (server-process-filter): Use expand-file-name rather than |
1872 | command-line-normalize-file-name so as to use the `dir' when provided. | |
1873 | ||
bba79a9c SM |
1874 | * doc-view.el (doc-view-convert-current-doc): Fix last change. |
1875 | ||
9dda5b0e GM |
1876 | 2009-03-10 Glenn Morris <rgm@gnu.org> |
1877 | ||
0462d019 GM |
1878 | * mail/sendmail.el (mail-archive-file-name, mail-default-headers): |
1879 | Doc fixes. | |
1880 | (mail-mailing-lists): Remove leading `*' from defcustom doc. | |
1881 | ||
9dda5b0e GM |
1882 | * simple.el (compose-mail): Doc fix. |
1883 | ||
eb916113 CY |
1884 | 2009-03-10 Alexander Becher <alexander.becher@capgemini-sdm.com> (tiny change) |
1885 | ||
bba79a9c | 1886 | * vc-annotate.el (vc-annotate): Use widened line number (Bug#2612). |
eb916113 | 1887 | |
6462af0d JR |
1888 | 2009-03-09 Jason Rumney <jasonr@gnu.org> |
1889 | ||
1890 | * minibuffer.el (read-file-name): Only split dir name when | |
1891 | default filename is missing. (Bug#2585) | |
1892 | ||
5bde1114 CY |
1893 | 2009-03-08 Chong Yidong <cyd@stupidchicken.com> |
1894 | ||
7aad1c62 CY |
1895 | * finder.el (finder-commentary): Don't delete other |
1896 | windows (Bug#2291). | |
1897 | ||
b2553838 CY |
1898 | * term.el (term-send-raw, term-send-raw-meta): Deactivate the mark |
1899 | first (Bug#2449). | |
5bde1114 | 1900 | |
72e0a95b AS |
1901 | 2009-03-08 Andreas Schwab <schwab@linux-m68k.org> |
1902 | ||
1903 | * net/ange-ftp.el (ange-ftp-multi-msgs): Add 150-. | |
1904 | ||
1bf0da02 EZ |
1905 | 2009-03-07 Eli Zaretskii <eliz@gnu.org> |
1906 | ||
00460cfd EZ |
1907 | * woman.el (woman-parse-colon-path): Return a list even if there's |
1908 | only one directory in PATHS. | |
1efaf74b EZ |
1909 | (woman-mode): Restore tab-width value after the call to Man-mode, |
1910 | since Man-mode resets it to the default, and that makes right | |
1911 | margin extend beyond woman-fill-column. | |
00460cfd | 1912 | |
1bf0da02 EZ |
1913 | * man.el (Man-init-defvars) [windows-nt]: Use a special command |
1914 | list. Don't invoke Awk. | |
1915 | ||
c8644de0 GM |
1916 | 2009-03-06 Glenn Morris <rgm@gnu.org> |
1917 | ||
1918 | * mail/rmailmm.el (rmail-mime-media-type-handlers-alist): Fix doc and | |
1919 | custom type. | |
1920 | ||
cde4c3f1 TH |
1921 | 2009-03-05 Tassilo Horn <tassilo@member.fsf.org> |
1922 | ||
1923 | * doc-view.el (doc-view-convert-current-doc): Save the current | |
1924 | resolution in the cache directory. | |
1925 | (doc-view-initiate-display): Restore the saved resolution when | |
1926 | using cached image files. | |
1927 | ||
da5f6330 GM |
1928 | 2009-03-05 Glenn Morris <rgm@gnu.org> |
1929 | ||
1930 | * mail/rmail.el (rmail-perm-variables): rmail-overlay-list needs to be | |
1931 | buffer-local in the view buffer, not the rmail buffer. | |
1932 | ||
1933 | * mail/rmailout.el (rmail-output-to-rmail-buffer): Add the new message | |
1934 | at the end of the existing messages, ensuring there is a blank line | |
1935 | between them. (Bug#2521) | |
1936 | ||
1937 | * mail/rmail.el (rmail-ensure-blank-line): New function. | |
1938 | * mail/rmailedit.el (rmail-cease-edit): | |
1939 | * mail/rmailout.el (rmail-output-as-mbox): | |
1940 | * mail/rmailsort.el (rmail-sort-messages): Use rmail-ensure-blank-line. | |
1941 | ||
1942 | * mail/rmailmm.el (rmail-mime-media-type-handlers-alist) | |
1943 | (rmail-mime-attachment-dirs-alist): Doc fixes. Fix custom-type. | |
1944 | Add version. | |
1945 | (rmail-mime-total-number-of-bulk-attachments): Doc fix. | |
1946 | (rmail-mime): Doc fix. Use rmail-apply-in-message. | |
1947 | Disable undo in the mime buffer, mark unmodified. | |
1948 | ||
6dea7173 | 1949 | 2009-03-04 David Reitter <david.reitter@gmail.com> |
a728dc59 | 1950 | |
bba79a9c SM |
1951 | * menu-bar.el (clipboard-yank): Avoid checking (x-selection-exists-p) |
1952 | if unbound in order to enable the item. | |
1953 | For paste menu item, same, and check kill-ring. | |
a728dc59 | 1954 | |
0fdb20bb SS |
1955 | 2009-03-04 Sam Steingold <sds@gnu.org> |
1956 | ||
1957 | * progmodes/compile.el (compilation-error-regexp-alist-alist): | |
1958 | Handle "omake -P" messages "file foo changed". | |
1959 | ||
24683c51 GM |
1960 | 2009-03-04 Glenn Morris <rgm@gnu.org> |
1961 | ||
1962 | * mail/rmailedit.el (rmail-edit-mode): Doc fix. | |
1963 | ||
1964 | * mail/rmailsort.el: Replace `(function (lambda' by `(lambda'. | |
1965 | (rmail-sort-by-date, rmail-sort-by-subject) | |
1966 | (rmail-sort-by-author, rmail-sort-by-recipient) | |
1967 | (rmail-sort-by-correspondent, rmail-select-correspondent) | |
1968 | (rmail-sort-by-lines, rmail-sort-by-labels, rmail-sort-messages) | |
1969 | (rmail-make-date-sortable): Doc fixes. | |
1970 | (rmail-sort-by-correspondent): Downcase correspondents. | |
1971 | (rmail-sort-by-labels): Make it work. | |
1972 | (rmail-sort-messages): Restore undo if it was initially enabled. | |
1973 | Fix bobp/bolp typo that was adding a line on every sort. | |
1974 | ||
1975 | * mail/rmailsum.el (rmail-get-create-summary-buffer): Doc fix. | |
1976 | Disable undo in the summary buffer. | |
1977 | (rmail-summary-sort-by-date, rmail-summary-sort-by-subject) | |
1978 | (rmail-summary-sort-by-author, rmail-summary-sort-by-recipient) | |
1979 | (rmail-summary-sort-by-correspondent, rmail-summary-sort-by-lines) | |
1980 | (rmail-summary-sort-by-labels, rmail-sort-from-summary): Doc fixes. | |
1981 | (rmail-summary-sort-by-labels): Replace `(function (lambda' | |
1982 | by `(lambda'. | |
1983 | ||
ee15d759 SS |
1984 | 2009-03-03 Sam Steingold <sds@gnu.org> |
1985 | ||
1986 | * progmodes/compile.el (compilation-move-to-column): | |
1987 | Guard against negative col values. | |
1988 | ||
9927d250 SJ |
1989 | 2009-03-03 Simon Josefsson <simon@josefsson.org> |
1990 | ||
1991 | * mail/smtpmail.el (smtpmail-auth-supported): Mention that list is | |
1992 | in preference order. | |
1993 | (smtpmail-try-auth-methods): Improve which authentication | |
1994 | mechanism to use, so that the locally most preferred and mutually | |
1995 | supported mechanism is used. | |
1996 | ||
7bbab3e0 SM |
1997 | 2009-03-03 Stefan Monnier <monnier@iro.umontreal.ca> |
1998 | ||
1999 | * emacs-lisp/lisp.el (end-of-defun-function): Make it more clear that | |
2000 | the function takes no argument. | |
2001 | ||
66be413e GM |
2002 | 2009-03-03 Glenn Morris <rgm@gnu.org> |
2003 | ||
2004 | * mail/rmail.el (rmail-highlighted-headers, rmail-highlight) | |
2005 | (rmail-header-name): Doc fixes. | |
2006 | (rmail-show-message-verbose-min): Add :version. | |
2007 | (rmail-highlight-headers): Add doc string. Simplify. | |
2008 | ||
2009 | * mail/rmailsum.el (rmail-summary-scroll-between-messages) | |
2010 | (rmail-summary-line-count-flag, rmail-summary-by-regexp): Doc fixes. | |
ac203e01 | 2011 | (rmail-summary-by-senders): Doc fix. |
66be413e GM |
2012 | (rmail-summary-mode-map): Bind C-o to output-as-seen, not output. |
2013 | Make the output menu-items consistent with rmail-mode-map. | |
ac203e01 | 2014 | Bind rmail-summary-by-senders to C-M-f. |
a5110f66 | 2015 | (rmail-summary-search): Ensure the selected message shows. |
66be413e | 2016 | |
86c507f7 KH |
2017 | 2009-03-03 Kenichi Handa <handa@m17n.org> |
2018 | ||
2019 | * files.el (basic-save-buffer-1): Set car part of | |
2020 | buffer-file-coding-system-explicit. | |
bba79a9c | 2021 | (revert-buffer): Check car part of buffer-file-coding-system-explicit. |
86c507f7 KH |
2022 | |
2023 | * international/mule-cmds.el (select-safe-coding-system): If cdr | |
2024 | part of buffer-file-coding-system-explicit is set, ignore | |
bba79a9c | 2025 | default-buffer-file-coding-system and the most preferred coding system. |
86c507f7 KH |
2026 | |
2027 | * international/mule.el (set-buffer-file-coding-system): Set cdr | |
2028 | part of buffer-file-coding-system-explicit. | |
bba79a9c SM |
2029 | (after-insert-file-set-coding): |
2030 | Set buffer-file-coding-system-explicit to a cons. | |
86c507f7 | 2031 | |
5f3b7063 GM |
2032 | 2009-03-02 Glenn Morris <rgm@gnu.org> |
2033 | ||
6302f8ac GM |
2034 | * calendar/calendar.el (diary-date-forms, calendar-date-display-form): |
2035 | Add :set-after listing variables on which we might depend. | |
2036 | ||
5f3b7063 GM |
2037 | * mail/rmail.el (rmail-reply): Use rmail-apply-in-message so that this |
2038 | function has access to all the headers, not just the visible ones. | |
925d6582 | 2039 | Avoid extraneous leading whitespace in `References'. |
c9a81e05 GM |
2040 | (rmail-dont-reply-to-names, rmail-default-dont-reply-to-names): |
2041 | Doc fixes. | |
925d6582 GM |
2042 | (rmail-retry-failure): Use rmail-get-header rather than a magical |
2043 | save-excursion/restriction combination that does nothing. | |
37aca7a8 GM |
2044 | (rmail-delete-headers): New function. |
2045 | (rmail-retry-failure): Restore yet another feature mbox Rmail removed | |
2046 | without telling anyone, namely rmail-retry-ignored-headers. | |
5f3b7063 | 2047 | |
b597e547 CY |
2048 | 2009-03-01 Chong Yidong <cyd@stupidchicken.com> |
2049 | ||
2050 | * abbrev.el (clear-abbrev-table): Always return nil (Bug#2515). | |
2051 | ||
b61324c3 GM |
2052 | 2009-03-01 Glenn Morris <rgm@gnu.org> |
2053 | ||
017166ce GM |
2054 | * mail/rmail.el (rmail-mode-map): Add explicit keybinding for |
2055 | rmail-resend in the menu. | |
2056 | ||
b61324c3 GM |
2057 | * files.el (confirm-nonexistent-file-or-buffer): `other' must be last |
2058 | custom choice. (Bug#2506) | |
2059 | ||
8f0e19af EZ |
2060 | 2009-02-28 Eli Zaretskii <eliz@gnu.org> |
2061 | ||
bba79a9c SM |
2062 | * progmodes/grep.el (grep-process-setup) [windows-nt msdos]: |
2063 | Use "--color=always". | |
8f0e19af | 2064 | |
73422054 GM |
2065 | 2009-02-28 Glenn Morris <rgm@gnu.org> |
2066 | ||
33417df2 GM |
2067 | * mail/rmailout.el (rmail-output-as-seen): Add autoload cookie. |
2068 | ||
73422054 GM |
2069 | * mail/rmailmm.el (rmail-mime): Add autoload cookie. |
2070 | * mail/rmail.el (rmail-mode-map): | |
2071 | * mail/rmailsum.el (rmail-summary-mode-map): Bind rmail-mime to `v'. | |
2072 | ||
2073 | * mail/rmail.el (rmail-primary-inbox-list): Doc fix. | |
2074 | Fix custom choice to match default. | |
2075 | (rmail-get-new-mail): Doc fix. | |
2076 | (rmail-beginning-of-message, rmail-end-of-message): Preserve the current | |
2077 | header style. | |
2078 | ||
4420f48b CY |
2079 | 2009-02-27 Chong Yidong <cyd@stupidchicken.com> |
2080 | ||
c2c7b2f2 CY |
2081 | * replace.el (keep-lines-read-args): Use empty string as |
2082 | default (Bug#2495). | |
2083 | ||
4420f48b CY |
2084 | * menu-bar.el (menu-set-font): Fix last fix. |
2085 | ||
6565b5ab GM |
2086 | 2009-02-27 Glenn Morris <rgm@gnu.org> |
2087 | ||
2088 | * help-fns.el (find-lisp-object-file-name): Need a buffer position, not | |
2089 | just a buffer, to find a definition. | |
2090 | ||
2091 | * mail/rmail.el (rmail-speedbar-move-message): Preserve the directory | |
2092 | part against rmail-output's oddness. | |
2093 | ||
a582c49d CY |
2094 | 2009-02-27 Chong Yidong <cyd@stupidchicken.com> |
2095 | ||
2096 | * menu-bar.el (menu-set-font): Assign the same font object to all | |
2097 | frames (Bug#2476). | |
2098 | ||
3dc847a3 MA |
2099 | 2009-02-26 Michael Albinus <michael.albinus@gmx.de> |
2100 | ||
a94b978f | 2101 | * net/tramp.el (tramp-remote-coding-commands): Add "base64". (Bug#2463) |
3dc847a3 | 2102 | |
cd07aa70 JB |
2103 | 2009-02-26 Juanma Barranquero <lekktu@gmail.com> |
2104 | ||
2105 | * x-dnd.el (x-dnd-test-function, x-dnd-get-state-cons-for-frame) | |
2106 | (x-dnd-get-state-for-frame, x-dnd-handle-moz-url) | |
2107 | (x-dnd-handle-uri-list, x-dnd-choose-type, x-dnd-get-drop-width-height) | |
2108 | (x-dnd-init-motif-for-frame): Fix typos in docstrings. | |
2109 | (x-dnd-drop-data, x-dnd-types-alist, x-dnd-default-test-function): | |
2110 | Reflow docstrings. | |
2111 | ||
44ea2d6c GM |
2112 | 2009-02-26 Glenn Morris <rgm@gnu.org> |
2113 | ||
ee6ccffc | 2114 | * mail/rmailsum.el (rmail-summary-rmail-update): |
602d718e | 2115 | Use rmail-message-unseen-p. (Bug#2477) |
36aecf22 GM |
2116 | (rmail-summary-mark-seen): Add option to mark as unseen. |
2117 | (rmail-summary-rmail-update): Store a position in | |
2118 | rmail-summary-put-back-unseen rather than just a flag, and use it to put | |
2119 | back the "-" mark in the summary. | |
ee6ccffc | 2120 | |
44ea2d6c GM |
2121 | * progmodes/cc-vars.el (c-style-variables-are-local-p): |
2122 | Mark as safe if boolean. (Bug#2197) | |
2123 | ||
2124 | 2009-02-26 Tobias C. Rittweiler <tcr@freebits.de> (tiny change) | |
2125 | ||
2126 | * mail/sendmail.el (sendmail-send-it): `call-process-region' can | |
2127 | return a string. (Bug#2428) | |
2128 | ||
e600eb79 JB |
2129 | 2009-02-25 Juanma Barranquero <lekktu@gmail.com> |
2130 | ||
2131 | * bs.el (bs--down): | |
2132 | * ibuffer.el (ibuffer-redisplay-engine): Use `point-min' instead of 1. | |
2133 | ||
9af66738 GM |
2134 | 2009-02-25 Glenn Morris <rgm@gnu.org> |
2135 | ||
de5af6bb GM |
2136 | * dired-x.el (dired-guess-shell-alist-user): Fix doc typo. |
2137 | ||
9af66738 GM |
2138 | * simple.el (eval-expression): |
2139 | * emacs-lisp/lisp-mode.el (eval-last-sexp): Mention truncation in doc. | |
2140 | ||
02ffe8e3 DN |
2141 | 2009-02-25 Dan Nicolaescu <dann@ics.uci.edu> |
2142 | ||
2143 | * vc-dir.el (vc-dir-update): Make sure ./ is always first in the listing. | |
2144 | ||
6dea7173 | 2145 | 2009-02-25 David Reitter <david.reitter@gmail.com> |
ddf6d79f | 2146 | |
02ffe8e3 | 2147 | * mail/mailclient.el (clipboard-kill-ring-save): Declare. |
ddf6d79f | 2148 | |
39656cb3 KH |
2149 | 2009-02-25 Kenichi Handa <handa@m17n.org> |
2150 | ||
8bec9e5c KH |
2151 | * ps-mule.el (ps-mule-begin-job): Don't call auto-compose-region. |
2152 | ||
39656cb3 KH |
2153 | * composite.el (auto-composition-mode): Don't add a hook to |
2154 | after-change-functions. Don't decompose the buffer. | |
2155 | (auto-composition-after-change): Delete it. | |
2156 | (toggle-auto-composition): Make it an alias of | |
2157 | auto-composition-mode. | |
2158 | (auto-compose-region): Delete it. | |
2159 | ||
43c660bc SM |
2160 | 2009-02-24 Stefan Monnier <monnier@iro.umontreal.ca> |
2161 | ||
2162 | * term/ns-win.el (ns-working-overlay): Don't make it buffer-local. | |
2163 | (ns-working-overlay-len): Remove. Use ns-working-overlay instead. | |
2164 | (ns-delete-working-text): Merge with ns-unecho-working-text. | |
2165 | Decide which to use based on ns-working-overlay. | |
2166 | (ns-unecho-working-text): Remove. | |
2167 | (ns-insert-working-text, ns-echo-working-text): Adjust accordingly. | |
2168 | ||
68ba6c49 JB |
2169 | 2009-02-24 Juanma Barranquero <lekktu@gmail.com> |
2170 | ||
221d9807 JB |
2171 | * textmodes/ispell.el (ispell-region): Adapt "done" message to |
2172 | coding conventions. (Bug#2447) | |
2173 | ||
5f0e32ea JB |
2174 | * scroll-bar.el (scroll-bar-mode): Turn off scroll bars when |
2175 | argument is 0. Doc fix. (Bug#1527) | |
2176 | ||
f54e2d1c JB |
2177 | * w32-vars.el (w32-system-shells): Doc fix. |
2178 | ||
68ba6c49 JB |
2179 | * image.el (image-load-path-for-library, insert-sliced-image): |
2180 | Fix typos in docstrings. | |
2181 | ||
92bd667f GM |
2182 | 2009-02-24 Glenn Morris <rgm@gnu.org> |
2183 | ||
cb92c150 GM |
2184 | * textmodes/flyspell.el (flyspell-use-meta-tab): Add a custom |
2185 | :set function. (Bug#2429) | |
2186 | ||
92bd667f GM |
2187 | * eshell/esh-util.el (eshell-parse-ange-ls): Define `name' before |
2188 | potential use. | |
2189 | ||
2190 | * progmodes/cperl-mode.el (cperl-find-pods-heres): | |
2191 | Don't globally bind `name'. | |
2192 | ||
2193 | * emacs-lisp/elint.el (elint-unknown-builtin-args): | |
2194 | Fix encode-time spec. (Bug#2453) | |
2195 | (elint-find-builtin-args): Make the match more restrictive. | |
2196 | Handle errors. Return a result actually containing the function name. | |
2197 | ||
2198 | * mail/rmail.el (rmail): Don't show a message if rmail-get-new-mail | |
2199 | already did. (Bug#2440) | |
2200 | (rmail-quit): Don't swap buffers. (Bug#2441) | |
2201 | (rmail-list-to-menu): Don't globally bind `name'. | |
2202 | ||
d4bef9b5 CY |
2203 | 2009-02-23 Chong Yidong <cyd@stupidchicken.com> |
2204 | ||
2205 | * net/ange-ftp.el (ange-ftp-insert-directory): Adapt switch | |
2206 | manipulation to 2009-02-11 change to dired-add-entry (Bug#2410). | |
2207 | ||
936934f5 JB |
2208 | 2009-02-23 Juanma Barranquero <lekktu@gmail.com> |
2209 | ||
2210 | * bs.el (bs--down): When wrapping around, use `forward-line', | |
2211 | not `goto-line', to avoid distracting "Mark set" message. | |
2212 | ||
2ead9289 JB |
2213 | 2009-02-23 Geoff Gole <geoffgole@gmail.com> (tiny change) |
2214 | ||
2215 | * ibuffer.el (ibuffer-redisplay-engine): Avoid "Mark set" message | |
68ba6c49 | 2216 | clobbering useful message from `ibuffer-toggle-sorting-mode' (Bug#2439). |
2ead9289 | 2217 | |
a20878b6 MB |
2218 | 2009-02-23 Miles Bader <miles@gnu.org> |
2219 | ||
2220 | * proced.el (proced-process-attributes): | |
2221 | * server.el (server-running-p): Rename `system-process-attributes' | |
2222 | to `process-attributes'. | |
2223 | ||
57199d9b JL |
2224 | 2009-02-22 Juri Linkov <juri@jurta.org> |
2225 | ||
b8f908b3 JL |
2226 | * dired-aux.el (minibuffer-default-add-dired-shell-commands): |
2227 | Doc fix. | |
2228 | ||
2229 | * dired-x.el (dired-guess-shell-command): Use read-shell-command | |
2230 | instead of read-from-minibuffer. | |
2231 | (dired-read-shell-command): Add code that uses | |
2232 | minibuffer-with-setup-hook to set minibuffer-default-add-function | |
2233 | to minibuffer-default-add-dired-shell-commands exactly like | |
2234 | `dired-read-shell-command' in dired-aux.el already does. Doc fix. | |
2235 | ||
57199d9b JL |
2236 | * dired-x.el (dired-smart-shell-command): Remove code that uses |
2237 | minibuffer-with-setup-hook to set minibuffer-default-add-function | |
2238 | to minibuffer-default-add-shell-commands because the same code was | |
b8f908b3 | 2239 | already moved to `read-shell-command' 2008-07-31. (Bug#2414) |
57199d9b | 2240 | |
7b3752ab JB |
2241 | 2009-02-21 Jay Belanger <jay.p.belanger@gmail.com> |
2242 | ||
2243 | * calc/calc-math.el (math-use-emacs-fn): Make sure that the | |
2244 | lisp number is in decimal. | |
2245 | ||
c067b28f CY |
2246 | 2009-02-21 Chong Yidong <cyd@stupidchicken.com> |
2247 | ||
d4c0130b CY |
2248 | * progmodes/compile.el (compilation-error-regexp-alist-alist): |
2249 | Tweak regexp (Bug#2173). | |
2250 | ||
c067b28f CY |
2251 | * textmodes/flyspell.el (flyspell-mouse-map): Undefine mouse-2 to |
2252 | avoid yanks (Bug#2408). | |
2253 | ||
90005fd6 AM |
2254 | 2009-02-21 Alan Mackenzie <acm@muc.de> |
2255 | ||
2256 | * progmodes/cc-langs.el (c-case-kwds-regexp): New variable. | |
2257 | ||
2258 | * progmodes/cc-engine.el (c-beginning-of-statement-1): Enhance to | |
2259 | parse case clauses with (compile-time) expressions. | |
2260 | ||
ff4abce9 EZ |
2261 | 2009-02-21 Eli Zaretskii <eliz@gnu.org> |
2262 | ||
2263 | * mail/rmailedit.el (rmail-cease-edit): Notice changes in buffer's | |
2264 | encoding during editing. Make sure to use encoding that can | |
2265 | safely encode the message. Rewrite MIME charset= header if the | |
2266 | new encoding is different from the old one. | |
2267 | ||
a8d4467b GM |
2268 | 2009-02-21 Glenn Morris <rgm@gnu.org> |
2269 | ||
2270 | * mail/supercite.el (sc-mail-glom-frame): Handle a "From " line | |
2271 | at the start of the headers. | |
2272 | (sc-mail-check-from): New function. | |
2273 | ||
3ba6d4ed MR |
2274 | 2009-02-20 Martin Rudalics <rudalics@gmx.at> |
2275 | ||
2276 | * progmodes/python.el (python-shift-left, python-shift-right): | |
2277 | When the mark is not active shift the current line. (Bug#2381) | |
2278 | Suggested by Guillaume Salagnac <guillaume.salagnac@gmail.com> | |
2279 | ||
7b704afe AS |
2280 | 2009-02-20 Andreas Schwab <schwab@suse.de> |
2281 | ||
2282 | * startup.el (command-line): Don't match an empty argument as an | |
2283 | option. | |
2284 | (command-line-1): Likewise. | |
2285 | ||
7aad296a GM |
2286 | 2009-02-20 Daniel Jensen <daniel@bigwalter.net> (tiny change) |
2287 | ||
2288 | * apropos.el (apropos-library): Check for null filename in load-history. | |
2289 | ||
788c1fc9 GM |
2290 | 2009-02-20 Glenn Morris <rgm@gnu.org> |
2291 | ||
47529322 GM |
2292 | * apropos.el (apropos-library): Remove the element with null filename, |
2293 | if present, from the completion list. | |
2294 | ||
788c1fc9 GM |
2295 | * mail/rmail.el (rmail-speedbar-buttons): Only add regular files. |
2296 | (rmail-speedbar-find-file): Use rmail rather than find-file. | |
2297 | ||
2dccb777 GM |
2298 | 2009-02-20 Roland Winkler <Roland.Winkler@physik.uni-erlangen.de> |
2299 | ||
2300 | * textmodes/bibtex.el (bibtex-autokey-titleword-ignore): Doc fix. | |
2301 | ||
0f912546 | 2302 | 2009-02-20 Era Eriksson <era@iki.fi> (tiny change) |
6801feef | 2303 | |
bba79a9c SM |
2304 | * textmodes/bibtex.el (bibtex-autokey-titleword-ignore): |
2305 | Fix regexp (Bug#2385). | |
6801feef RW |
2306 | (bibtex-autokey-get-title): Observe case for |
2307 | bibtex-autokey-titleword-ignore. | |
2308 | ||
9b7c23bb CY |
2309 | 2009-02-20 Chong Yidong <cyd@stupidchicken.com> |
2310 | ||
2311 | * server.el (server-start): Make the warning more | |
2312 | verbose (Bug#2395). | |
2313 | ||
32845226 SM |
2314 | 2009-02-19 Stefan Monnier <monnier@iro.umontreal.ca> |
2315 | ||
434f8c11 SM |
2316 | * font-lock.el (font-lock-fontify-syntactic-keywords-region): |
2317 | Make sure we stop when we reach the limit. | |
2318 | ||
c9176b9f | 2319 | * progmodes/sh-script.el (sh-font-lock-quoted-subshell): ' inside |
9b3c04f0 | 2320 | a "..." does not quote anything. (Bug#2384) |
c9176b9f | 2321 | |
32845226 SM |
2322 | * textmodes/rst.el (rst-mode-abbrev-table): Mark entries as `system'. |
2323 | ||
083d6104 AS |
2324 | 2009-02-19 Andreas Schwab <schwab@suse.de> |
2325 | ||
2326 | * term.el: Remap self-insert-command to term-send-raw in | |
2327 | term-raw-map. (Bug#2386) | |
2328 | ||
f2a8252a SM |
2329 | 2009-02-19 Stefan Monnier <monnier@iro.umontreal.ca> |
2330 | ||
9b3c04f0 JB |
2331 | * emacs-lisp/lisp.el (end-of-defun): Consider the ".*\n" after the end |
2332 | of the function as being part of the function. | |
f2a8252a | 2333 | |
1c427abd NR |
2334 | 2009-02-19 Nick Roberts <nickrob@snap.net.nz> |
2335 | ||
f2a8252a | 2336 | * progmodes/compile.el (compilation-error-regexp-alist-alist): |
1c427abd NR |
2337 | Move entry for maven (alphabetical order). |
2338 | ||
70369cd3 GM |
2339 | 2009-02-19 Glenn Morris <rgm@gnu.org> |
2340 | ||
2341 | * mail/rmailsum.el (rmail-summary-by-topic): Doc fix. | |
2342 | Regexp-quote default argument taken from current subject. | |
2343 | (rmail-new-summary-1): Error if empty summary. (Bug#2333) | |
2344 | ||
2345 | * mail/rmail-spam-filter.el (rmail-get-new-mail-filter-spam): | |
2346 | Move here from rmail.el. Be more careful about error-handling. | |
2347 | Restore the feature of temporarily setting all old messages | |
2348 | undeleted before expunging (removed 2009-02-18). | |
2349 | ||
2350 | * mail/rmail.el (rsf-beep, rsf-sleep-after-message, rmail-spam-filter): | |
2351 | Don't declare. | |
2352 | (rmail-get-new-mail-filter-spam): Declare. Move definition to | |
2353 | rmail-spam-filter.el. | |
2354 | (rmail-get-new-mail-1): Move the beep and sleep to | |
2355 | rmail-get-new-mail-filter-spam. | |
2356 | ||
1e3d9386 MR |
2357 | 2009-02-18 Martin Rudalics <rudalics@gmx.at> |
2358 | ||
2359 | * cus-edit.el (custom-group-value-create): Insert some | |
2360 | informatory text in the WIDGET-misses-doc-string case. | |
2361 | ||
72fcf382 SS |
2362 | 2009-02-18 Sam Steingold <sds@gnu.org> |
2363 | ||
2364 | * progmodes/compile.el: Require comint at top level to fix the | |
2365 | last patch. | |
2366 | ||
c19aae89 MR |
2367 | 2009-02-18 Martin Rudalics <rudalics@gmx.at> |
2368 | ||
2369 | * cus-edit.el (custom-group-value-create): Don't throw an error | |
2370 | when WIDGET has no doc-string. (Bug#2356) | |
2371 | ||
0d3e93a6 JB |
2372 | 2009-02-18 Juanma Barranquero <lekktu@gmail.com> |
2373 | ||
2374 | * progmodes/cc-menus.el (imenu-progress-message): | |
2375 | Remove bogus cc-bytecomp-defun. | |
2376 | (cc-imenu-objc-function): Don't call `imenu-progress-message'. | |
2377 | Don't let-bind variable `stupid'. (Bug#1638) | |
2378 | ||
134859bc GM |
2379 | 2009-02-18 Glenn Morris <rgm@gnu.org> |
2380 | ||
2381 | * mail/rmail.el (rmail-get-new-mail-filter-spam): | |
2382 | * mail/rmail-spam-filter.el (rmail-spam-filter): | |
2383 | Show a message rather than the raw mbox while prompting. | |
2384 | ||
57a97b44 MR |
2385 | 2009-02-18 Martin Rudalics <rudalics@gmx.at> |
2386 | ||
2387 | * progmodes/flymake.el (flymake): Add defgroup. (Bug#2356) | |
2388 | ||
1bfadfb2 GM |
2389 | 2009-02-18 Glenn Morris <rgm@gnu.org> |
2390 | ||
2391 | * mail/rmail-spam-filter.el (rmail-summary-mode-map): Remove unneeded | |
2392 | declaration. | |
2393 | (top-level): No need for cl now. | |
2394 | (rmail-spam-filter, rmail-use-spam-filter, rsf-file, rsf-no-blind-cc) | |
2395 | (rsf-beep, rsf-sleep-after-message, rsf-min-region-to-spam-list) | |
2396 | (rsf-autosave-newly-added-definitions, rsf-white-list) | |
2397 | (rsf-definitions-alist, rsf-check-field, rsf-add-subject-to-spam-list) | |
2398 | (rsf-add-sender-to-spam-list, rsf-add-region-to-spam-list) | |
2399 | (rsf-customize-spam-definitions, rsf-customize-group) | |
2400 | (rsf-custom-save-all, rsf-add-content-type-field): Doc fixes. | |
2401 | (rsf-check-field): Use setcar and setcdr rather than setf. | |
2402 | (rmail-spam-filter): Simplify. | |
2403 | (rsf-add-subject-to-spam-list, rsf-add-sender-to-spam-list) | |
2404 | (rsf-add-region-to-spam-list): Use rmail-get-header or | |
2405 | buffer-substring-no-properties. Regexp-quote the extracted data. | |
2406 | Make the messages less verbose. | |
2407 | (rmail-summary-mode-map, rmail-mode-map): Use easy-menu and dolist to | |
2408 | simplify things. | |
2409 | (rsf-add-content-type-field): Make the message less verbose. | |
2410 | ||
2411 | * mail/rmail.el (rmail-get-new-mail-1): Fix the spam beep test. | |
2412 | (rmail-get-new-mail-filter-spam): Simplify. Don't mess with | |
2413 | rmail-deleted-vector. | |
2414 | (rmail-insert-inbox-text, rmail-collect-deleted) | |
2415 | (rmail-set-message-deleted-p, rmail-only-expunge) | |
2416 | (rmail-make-in-reply-to-field): Replace ?\ with ?\s. | |
2417 | (rmail-get-labels): Remove unused local `blurb'. | |
2418 | (rmail-message-attr-p, rmail-message-unseen-p, rmail-message-labels-p) | |
2419 | (rmail-msgend, rmail-msgbeg, rmail-message-deleted-p) | |
2420 | (rmail-set-message-deleted-p): Doc fixes. | |
2421 | ||
2422 | * mail/rmailout.el (rmail-mbox-from): Move to mail-utils. | |
2423 | Update callers for associated name change. | |
2424 | * mail/mail-utils.el (mail-mbox-from): Move here from rmailout.el. | |
2425 | * mail/unrmail.el (unrmail): Use mail-mbox-from. | |
2426 | ||
57d2296a RS |
2427 | 2009-02-17 Richard M Stallman <rms@gnu.org> |
2428 | ||
2429 | * mail/rmailmsc.el (set-rmail-inbox-list): Doc fix. | |
2430 | ||
2431 | * mail/rmail.el (rmail-copy-headers): When copying full headers, | |
2432 | correctly put point at the end. | |
2433 | ||
20179516 SS |
2434 | 2009-02-17 Sam Steingold <sds@gnu.org> |
2435 | ||
bba79a9c SM |
2436 | * progmodes/compile.el (compilation-filter): |
2437 | Call `comint-carriage-motion', just like `comint-output-filter'. | |
20179516 | 2438 | |
3ef3d89d JR |
2439 | 2009-02-17 Jason Rumney <jasonr@gnu.org> |
2440 | ||
2441 | * files.el (make-directory): Stop recursing if parent is | |
a94b978f | 2442 | self. (Bug#2309) |
3ef3d89d | 2443 | |
33ee2fc8 JB |
2444 | 2009-02-17 Juanma Barranquero <lekktu@gmail.com> |
2445 | ||
12272241 JB |
2446 | * net/newst-treeview.el (newsticker--treeview-load): Remove bogus `if'. |
2447 | ||
33ee2fc8 JB |
2448 | * progmodes/cc-menus.el (cc-imenu-objc-function): Doc fix. |
2449 | ||
24a517fc MB |
2450 | 2009-02-17 Miles Bader <miles@gnu.org> |
2451 | ||
7bbab3e0 | 2452 | * emacs-lisp/copyright.el (copyright-regexp): Tweak to match |
24a517fc MB |
2453 | copyright statements where the years follow the name. |
2454 | ||
f58faced GM |
2455 | 2009-02-17 Glenn Morris <rgm@gnu.org> |
2456 | ||
4431546e GM |
2457 | * emacs-lisp/copyright.el (copyright-update-year): Don't let y-or-n-p |
2458 | move point. (Bug#2209) | |
2459 | ||
f58faced GM |
2460 | * mail/rmailout.el (rmail-fields-not-to-output): Doc fix. |
2461 | (rmail-delete-unwanted-fields): Ignore case. Use line-beg-pos. | |
7bbab3e0 SM |
2462 | (rmail-output, rmail-output-as-seen): Change the "from-gnus" argument |
2463 | to "not-rmail", and make it work. Simplify. | |
1640a85f GM |
2464 | (rmail-mbox-from): New function. |
2465 | (rmail-output-as-mbox): Use rmail-mbox-from. | |
2466 | (rmail-output): Ensure a From line in the not-rmail Babyl case. | |
f58faced GM |
2467 | |
2468 | * mail/rmail.el (rmail-get-attr-names): Give a warning rather than an | |
2469 | error if the header is corrupt. | |
2470 | ||
c6193b53 UJ |
2471 | 2009-02-16 Ulf Jasper <ulf.jasper@web.de> |
2472 | ||
7bbab3e0 SM |
2473 | * net/newst-treeview.el (newsticker--treeview-load): |
2474 | Offer deletion of old groups file. | |
c6193b53 | 2475 | |
d4653d3c GM |
2476 | 2009-02-16 Glenn Morris <rgm@gnu.org> |
2477 | ||
2478 | * mail/rmailout.el (rmail-delete-unwanted-fields) | |
2479 | (rmail-output-as-babyl, rmail-output-to-babyl-buffer) | |
2480 | (rmail-convert-to-babyl-format, rmail-nuke-pinhead-header) | |
2481 | (rmail-output-as-mbox, rmail-output-to-rmail-buffer, rmail-output) | |
2482 | (rmail-output-as-seen, rmail-output-body-to-file): Doc fixes. | |
2483 | ||
8f8cecb3 GM |
2484 | 2009-02-15 Glenn Morris <rgm@gnu.org> |
2485 | ||
2486 | * mail/rmailsum.el (rmail-summary-output-as-seen): Doc fix. | |
2487 | ||
2488 | * mail/rmailout.el (rmail-output-decode-coding): Fix custom type, group. | |
7bbab3e0 SM |
2489 | (rmail-output-as-babyl, rmail-output-to-babyl-buffer): |
2490 | Remove unnecessary use of with-no-warnings. | |
8f8cecb3 | 2491 | (rmail-output-as-mbox, rmail-output-as-seen): Doc fix. |
050f62bf GM |
2492 | (rmail-output-as-mbox): Start search from point-min. If constructing a |
2493 | "From " line, prefer the date header over the current time. | |
dfeab394 | 2494 | (rmail-update-summary): Move declaration earlier in file. |
8f8cecb3 | 2495 | |
59a6d249 RS |
2496 | 2009-02-15 Richard M Stallman <rms@gnu.org> |
2497 | ||
2498 | * mail/rmailedit.el (rmail-edit-diff-headers): | |
2499 | Delete spurious reference to OLD. | |
2500 | ||
002645bd SM |
2501 | 2009-02-14 Stefan Monnier <monnier@iro.umontreal.ca> |
2502 | ||
2503 | * jit-lock.el (with-buffer-prepared-for-jit-lock): Swap the `let' and | |
2504 | the `with-buffer-unmodified' to avoid useless `readlink' calls. | |
2505 | ||
03db5e5f RW |
2506 | 2009-02-14 Roland Winkler <Roland.Winkler@physik.uni-erlangen.de> |
2507 | ||
2508 | * textmodes/bibtex.el (bibtex-global-key-alist) | |
2509 | (bibtex-initialize): Exclude current buffer if it does not use | |
2510 | bibtex-mode. | |
2511 | (bibtex-parse-strings, bibtex-strings, bibtex-initialize) | |
2512 | (bibtex-sort-buffer, bibtex-search-entry) | |
2513 | (bibtex-prepare-new-entry, bibtex-clean-entry): Use functionp to | |
2514 | test whether bibtex-strings and bibtex-reference-keys have their | |
2515 | initial values. | |
2516 | ||
b46957e2 EZ |
2517 | 2009-02-14 Eli Zaretskii <eliz@gnu.org> |
2518 | ||
2519 | * textmodes/ispell.el (ispell-insert-word): Use `with-no-warnings' | |
2520 | around forms that refer to translation-table-for-input. | |
2521 | ||
2522 | * isearch.el (isearch-search-string): Use `with-no-warnings' | |
2523 | around forms that refer to translation-table-for-input. | |
2524 | ||
2525 | * international/quail.el (quail-input-string-to-events) | |
002645bd SM |
2526 | (quail-store-decode-map-key, quail-char-equal-p): |
2527 | Use `with-no-warnings' around forms that refer to | |
b46957e2 EZ |
2528 | translation-table-for-input. |
2529 | ||
2530 | * simple.el (quoted-insert, zap-to-char): Use `with-no-warnings' | |
2531 | around forms that refer to translation-table-for-input. | |
2532 | ||
2533 | * subr.el (translation-table-for-input): Declare obsolete again. | |
2534 | ||
40f9db32 GM |
2535 | 2009-02-14 Glenn Morris <rgm@gnu.org> |
2536 | ||
2537 | * mail/rmail.el (rmail): When reverting, swap buffers before setting | |
2538 | counters. (Bug#2229) | |
2539 | (rmail-revert): Swap buffers before replacing contents. | |
2540 | ||
2541 | 2009-02-14 Ian Eure <ian@digg.com> (tiny change) | |
2542 | ||
a94b978f JB |
2543 | * progmodes/sql.el (sql-product-alist, sql-connect-sqlite): Remove host, |
2544 | user, password options not applicable to SQlite. (Bug#1904) | |
40f9db32 | 2545 | |
c7eb0ba1 RS |
2546 | 2009-02-14 Richard M Stallman <rms@gnu.org> |
2547 | ||
1945c7a7 RS |
2548 | * mail/rmailedit.el: Handle editing of header fields. |
2549 | (rmail-old-headers): New variable. | |
2550 | (rmail-edit-current-message): Set it, recording current headers. | |
2551 | (rmail-cease-edit): Compute new headers and diff against old ones. | |
2552 | Update the mbox buffer with the changes that were made. | |
2553 | (rmail-edit-headers-alist): New function. | |
2554 | (rmail-edit-diff-headers, rmail-edit-update-headers): New functions. | |
2555 | ||
fe6dd7e2 RS |
2556 | * mail/rmailout.el (rmail-output-body-to-file): Avoid space and colon |
2557 | in default file name. | |
2558 | ||
c7eb0ba1 RS |
2559 | * mail/rmail.el (rmail-convert-file-maybe): Turn off case-fold-search. |
2560 | (rmail-add-mbox-headers): Likewise. | |
2561 | ||
c9ae6ddd EZ |
2562 | 2009-02-13 Eli Zaretskii <eliz@gnu.org> |
2563 | ||
2564 | * files.el (load-library): Doc fix. | |
2565 | ||
2566 | * subr.el (locate-library): Doc fix. (Bug#2291) | |
2567 | ||
8dd70654 AM |
2568 | 2009-02-13 Alan Mackenzie <acm@muc.de> |
2569 | ||
2570 | * progmodes/cc-cmds.el (c-defun-name): Widen, to allow it to work | |
2571 | in narrowed buffers. | |
2572 | ||
8b735b2b KH |
2573 | 2009-02-13 Kenichi Handa <handa@m17n.org> |
2574 | ||
bba79a9c SM |
2575 | * international/titdic-cnv.el (tit-process-header): |
2576 | Use buffer-substring-no-properties instead of buffer-substring. | |
8b735b2b KH |
2577 | (tit-process-body): Likewise. |
2578 | (tsang-quick-converter): Handle superfluous CR at eol. | |
2579 | (py-converter): Use buffer-substring-no-properties instead of | |
2580 | buffer-substring. Handle superfluous CR at eol. | |
bba79a9c | 2581 | (ziranma-converter): Likewise. |
8b735b2b | 2582 | (ctlau-converter): Likewise. |
5ae6e65f | 2583 | (miscdic-convert): Read a file without eol conversion. (Bug#2307) |
8b735b2b | 2584 | |
e35b3063 JB |
2585 | 2009-02-13 Juanma Barranquero <lekktu@gmail.com> |
2586 | ||
2587 | * minibuffer.el (internal-complete-buffer-except): Doc fix. (Bug#2315) | |
2588 | ||
95ca567f GM |
2589 | 2009-02-13 Glenn Morris <rgm@gnu.org> |
2590 | ||
bc04f207 GM |
2591 | * mail/rmail.el (rmail-show-message-1): New name for the old |
2592 | rmail-show-message. | |
2593 | * mail/rmail.el, mail/rmailkwd.el, mail/rmailmsc.el, mail/rmailout.el: | |
2594 | * mail/rmailsort.el: Update for rmail-show-message name change. | |
2595 | ||
2596 | * mail/rmail.el (rmail-show-message): New name for the old | |
2597 | rmail-show-message-maybe. | |
2598 | * mail/rmail.el, mail/rmailsum.el: Update for rmail-show-message-maybe | |
2599 | name change. | |
2600 | ||
95ca567f GM |
2601 | * mail/rmailsum.el (msgnum): Remove declaration. |
2602 | (rmail-summary-redo): Add missing initialization value. | |
2603 | (rmail-summary-overlay, rmail-summary-mode-map): Add doc string. | |
2604 | (rmail-summary-mark-deleted, rmail-summary-deleted-p): | |
2605 | Fix skip-chars-forward calls. | |
2606 | (rmail-summary-mark-seen): New function. As well as removing the '-' | |
2607 | mark, update the summary-vector. (Bug#2135) | |
2608 | (rmail-summary-rmail-update, rmail-summary-goto-msg): | |
2609 | Use rmail-summary-mark-seen. | |
2610 | ||
2611 | * mail/rmail.el (rmail-summary-vector, rmail-mode-map): Add doc string. | |
2612 | ||
2613 | * mail/unrmail.el (unrmail): When getting message keywords, don't | |
2614 | include a leading space, but do include the space after the separating | |
2615 | comma. (Bug#2303) | |
2616 | ||
2617 | * mail/rmail.el (rmail-set-header-1): If VALUE is nil, remove the | |
2618 | header altogether. | |
2619 | (rmail-set-header): Doc fix. | |
2620 | * mail/rmailkwd.el (rmail-set-label): Delete the keyword header if all | |
2621 | labels are removed. (Bug#2302) | |
2622 | ||
6c34bcde JL |
2623 | 2009-02-12 Juri Linkov <juri@jurta.org> |
2624 | ||
2625 | * image-mode.el (image-toggle-display): | |
2626 | Let-bind `buffer-file-truename' to nil around the call to | |
2627 | `add-text-properties' to prevent directory time modification | |
2628 | by lock_file. Replace `set-buffer-modified-p' with | |
2629 | `restore-buffer-modified-p'. (Bug#2295) | |
2630 | ||
f58bd666 SM |
2631 | 2009-02-12 Stefan Monnier <monnier@iro.umontreal.ca> |
2632 | ||
865ff911 | 2633 | * progmodes/python.el (python-use-skeletons): Re-add. |
3b4d152a | 2634 | (def-python-skeleton): Use it. (Bug#2238) |
865ff911 | 2635 | |
f58bd666 SM |
2636 | * international/quail.el (quail-make-guidance-frame): Remove the |
2637 | `parent-id' parameter. | |
2638 | (quail-show-guidance): Make the new window dedicated. | |
2639 | ||
4eb97232 JB |
2640 | 2009-02-12 Juanma Barranquero <lekktu@gmail.com> |
2641 | ||
2642 | * international/characters.el (?1, ?2, ?3, ?4, ?7, ?^): | |
2643 | Fix typos in docstrings. | |
2644 | ||
a7442b95 AM |
2645 | 2009-02-12 Alan Mackenzie <acm@muc.de> |
2646 | ||
2647 | * progmodes/cc-defs.el (c-emacs-features): Crash-fix: change `=' | |
00a258e0 | 2648 | to `eq'. (Bug#2304) |
a7442b95 | 2649 | |
3e8fc1b6 GM |
2650 | 2009-02-12 Glenn Morris <rgm@gnu.org> |
2651 | ||
2652 | * mail/rmailkwd.el (rmail-set-label): Fix the test for a label being | |
2653 | already present. (Bug#2210) | |
2654 | ||
8ea6fa80 KH |
2655 | 2009-02-12 Kenichi Handa <handa@m17n.org> |
2656 | ||
2657 | * international/characters.el (?.): New character category for | |
2658 | Base characters. Set them in the standard category table. | |
2659 | ||
2660 | * composite.el: Use "\\c." instead of "[[:alpha:]]" to match with | |
2661 | a base character. | |
2662 | ||
6bffddf4 SM |
2663 | 2009-02-12 Stefan Monnier <monnier@iro.umontreal.ca> |
2664 | ||
00c42405 SM |
2665 | * isearch.el (isearch-yank-char-in-minibuffer) |
2666 | (isearch-other-meta-char): Use with-current-buffer. | |
2667 | ||
7664ec44 | 2668 | * progmodes/verilog-mode.el (verilog-mode): Avoid circular use of |
3b4d152a | 2669 | syntax-ppss. (Bug#1243) |
7664ec44 | 2670 | |
f9f34ece SM |
2671 | * emacs-lisp/lisp.el (end-of-defun): Rewrite, to use the ARG argument |
2672 | to beginning-of-defun-raw. | |
2673 | ||
6bffddf4 SM |
2674 | * emacs-lisp/lisp.el (end-of-defun): Don't skip to next line after |
2675 | calling end-of-defun-function if it already moved to BOL. | |
2676 | ||
51584624 RS |
2677 | 2009-02-12 Richard M Stallman <rms@gnu.org> |
2678 | ||
2679 | * mail/rmail.el (rmail-show-message-verbose-min): New option. | |
2680 | (rmail-show-message): Conditionally show start and finish in echo area. | |
2681 | ||
4de724b0 GM |
2682 | 2009-02-12 Glenn Morris <rgm@gnu.org> |
2683 | ||
2684 | * mail/rmailsum.el (rmail-get-summary-labels): Store any message labels | |
2685 | for use in completion. | |
2686 | * mail/rmailkwd.el (rmail-read-label): Don't scan for labels if the | |
2687 | summary exists, since it's already done. | |
2688 | ||
2689 | * mail/rmail.el (rmail-unix-mail-delimiter): Doc fix. | |
2690 | (rmail-enable-multibyte): Don't really define, only for compiler. | |
2691 | ||
70ff4f59 SM |
2692 | 2009-02-12 Stefan Monnier <monnier@iro.umontreal.ca> |
2693 | ||
2694 | * files.el (read-buffer-to-switch): | |
2695 | Add table to icomplete-with-completion-tables. | |
2696 | ||
ca901d77 CY |
2697 | 2009-02-11 Chong Yidong <cyd@stupidchicken.com> |
2698 | ||
2699 | * dired-aux.el (dired-add-entry): Avoid munging long-form Dired | |
2700 | switches (Bug#2261). | |
2701 | ||
abab01f2 JB |
2702 | 2009-02-11 Juanma Barranquero <lekktu@gmail.com> |
2703 | ||
ca901d77 CY |
2704 | * server.el (server-with-environment): Don't fail for negative |
2705 | entries in ENV. | |
abab01f2 JB |
2706 | |
2707 | 2009-02-11 Dan Nicolaescu <dann@ics.uci.edu> | |
c7f9e440 DN |
2708 | |
2709 | * vc-dir.el (vc-dir-filename-mouse-map): Rename from vc-dir-mouse-map. | |
2710 | (vc-default-dir-printer): Add a comment about updating this function. | |
2711 | ||
2712 | * vc-git.el (vc-git-dir-printer): Use vc-dir-filename-mouse-map | |
2713 | for the file name. | |
2714 | ||
fa262b58 GM |
2715 | 2009-02-11 Glenn Morris <rgm@gnu.org> |
2716 | ||
2717 | * calendar/calendar.el (calendar-window-list): Ignore invisible windows. | |
2718 | (calendar-set-mode-line): Fall back to a default width if we seem to be | |
2719 | called from daemon. (Bug#2199) | |
2720 | ||
2721 | * mail/rmailkwd.el (rmail-make-label): Doc fix. | |
2722 | (rmail-read-label): Add current labels to completion list. (Bug#2222) | |
2723 | (rmail-set-label): Use `or'. | |
2724 | ||
e6a5a411 CY |
2725 | 2009-02-11 Chong Yidong <cyd@stupidchicken.com> |
2726 | ||
70ff4f59 SM |
2727 | * emacs-lisp/find-func.el (find-library-name, find-library): |
2728 | Doc fixes. (Part of bug#2270) | |
e6a5a411 | 2729 | |
6dc43c2e | 2730 | 2009-02-10 Eric Hanchrow <eric.hanchrow@gmail.com> (tiny change) |
263903f7 JB |
2731 | |
2732 | * env.el (getenv): When FRAME is non-nil, pass the frame environment | |
2733 | to `getenv-internal', not the frame. (Bug#2259) | |
2734 | ||
80ddad17 KH |
2735 | 2009-02-10 Kenichi Handa <handa@m17n.org> |
2736 | ||
2737 | * descr-text.el (describe-char-display): On terminal, if terminal | |
2738 | coding system is nil, assume us-ascii. | |
eb8c6ab3 | 2739 | (describe-char): Don't show the composition information if it is |
80ddad17 KH |
2740 | trivial. |
2741 | ||
af02d739 NR |
2742 | 2009-02-10 Nick Roberts <nickrob@snap.net.nz> |
2743 | ||
2744 | * vc-svn.el (vc-svn-after-dir-status): Use shy group for revision | |
2745 | number as it isn't present for unregistered files. | |
2746 | ||
2747 | * vc-dir.el (vc-dir-find-file-other-window): Allow mouse events. | |
2748 | (vc-dir-mouse-map): New keymap. | |
2749 | (vc-default-dir-printer): Use it locally. | |
2750 | ||
efb656fd GM |
2751 | 2009-02-10 Glenn Morris <rgm@gnu.org> |
2752 | ||
0aeb3666 GM |
2753 | * speedbar.el: Avoid messing up font-lock-global-modes. |
2754 | ||
7dbed484 GM |
2755 | * mail/mail-utils.el (mail-use-rfc822): Remove * from defcustom doc. |
2756 | (mail-file-babyl-p): Use with-temp-buffer. | |
2757 | ||
efb656fd GM |
2758 | * mail/rmailsort.el: Just require rmail. |
2759 | ||
2760 | * mail/rmailedit.el: Just require rmail. | |
2761 | (rmail-old-pruned): Add doc string. | |
2762 | (rmail-edit-current-message): Use rmail-msg-is-pruned. | |
2763 | (rmail-cease-edit): Don't mangle the leading From line. | |
2764 | Don't mark as edited if there were no changes. (Bug#2256) | |
2765 | Correctly restore header state. | |
2766 | ||
2767 | * mail/rmail.el (rmail-automatic-folder-directives): Doc fix. | |
2768 | (rmail-current-message, rmail-total-messages) | |
2769 | (rmail-message-vector, rmail-deleted-vector): Add doc strings. | |
2770 | (rmail-duplicate-message): Doc fix. | |
2771 | (rmail-get-header-1, rmail-set-header-1, rmail-set-attribute-1): | |
2772 | New functions. | |
2773 | (rmail-get-header, rmail-set-header, rmail-set-attribute): | |
2774 | Use rmail-apply-in-message. | |
2775 | (rmail-message-attr-p): Use rmail-get-header, hence no longer requires | |
2776 | unswapped-ness. | |
2777 | (rmail-get-attr-names): Check for missing or corrupt attribute headers. | |
2778 | (rmail-auto-file): Set the filed attribute, rather than explicitly not | |
2779 | doing so. (Bug#2231) | |
44baa8ce | 2780 | (rmail-set-attribute): Allow ATTR to be a string. |
efb656fd | 2781 | |
908e900d UJ |
2782 | 2009-02-09 Ulf Jasper <ulf.jasper@web.de> |
2783 | ||
2784 | * net/newst-treeview.el (newsticker-treeview-save) | |
6bffddf4 | 2785 | (newsticker--treeview-load): Kill groups buffer after saving/loading. |
908e900d | 2786 | |
bc19637d TH |
2787 | 2009-02-09 Tassilo Horn <tassilo@member.fsf.org> |
2788 | ||
2789 | * doc-view.el (doc-view-scroll-up-or-next-page) | |
bba79a9c SM |
2790 | (doc-view-scroll-down-or-previous-page, doc-view-goto-page): |
2791 | Reset the old hscroll value after switching pages. | |
bc19637d | 2792 | |
bdc3df30 GM |
2793 | 2009-02-09 Glenn Morris <rgm@gnu.org> |
2794 | ||
2795 | * calendar/calendar.el (diary-entry-marker, calendar-today-marker) | |
2796 | (calendar-holiday-marker): Drop test for color display. | |
2797 | ||
c253eff0 GM |
2798 | 2009-02-08 Glenn Morris <rgm@gnu.org> |
2799 | ||
2800 | * calendar/calendar.el (diary-entry-marker, calendar-today-marker) | |
2801 | (calendar-holiday-marker, calendar-mark-visible-date): | |
2802 | * calendar/diary-lib.el (diary-fancy-display): | |
2803 | It doesn't make sense to check font-lock-mode (see comments; | |
2804 | reverts 2008-04-02 change). (Bug#2199) | |
2805 | ||
c899b3db JL |
2806 | 2009-02-08 Juri Linkov <juri@jurta.org> |
2807 | ||
2808 | * simple.el (toggle-word-wrap): New command. | |
2809 | ||
dee39233 CY |
2810 | 2009-02-07 Chong Yidong <cyd@stupidchicken.com> |
2811 | ||
74fe63d9 CY |
2812 | * progmodes/ps-mode.el (ps-run-tmp-dir): Doc fix. |
2813 | (ps-run-make-tmp-filename): Use temporary-file-directory. | |
2814 | ||
e2a42dd5 CY |
2815 | * textmodes/artist.el (artist-system): Allow make-temp-file to use |
2816 | temporary-file-directory. | |
2817 | ||
bba79a9c SM |
2818 | * mail/rmailmm.el (rmail-mime-attachment-dirs-alist): |
2819 | Use temporary-file-directory. | |
dee39233 | 2820 | |
3a1eda7c GM |
2821 | 2009-02-07 Glenn Morris <rgm@gnu.org> |
2822 | ||
2823 | * mail/unrmail.el (rmime-magic-string): Define for compiler. | |
2824 | ||
634b455a EZ |
2825 | 2009-02-07 Eli Zaretskii <eliz@gnu.org> |
2826 | ||
2827 | * mail/rmail.el (rmail): Bind coding-system-for-read to | |
2828 | no-conversion before calling find-file-noselect. (Bug#2015) | |
2829 | ||
016151bb RW |
2830 | 2009-02-07 Roland Winkler <Roland.Winkler@physik.uni-erlangen.de> |
2831 | ||
2832 | * proced.el (proced-after-send-signal-hook): Use defcustom. | |
2833 | (proced-header-line): Handle header lines that are shorter than | |
2834 | window-hscroll. | |
2835 | (proced-send-signal): Rebind split-width-threshold analogous to | |
2836 | dired-pop-to-buffer. | |
2837 | ||
fc9682ad EZ |
2838 | 2009-02-07 Eli Zaretskii <eliz@gnu.org> |
2839 | ||
2840 | * mail/rmail.el (rmail-redecode-body): Don't encode/decode the | |
2841 | message; instead, just rewrite the X-Coding-System header with the | |
bba79a9c SM |
2842 | new encoding, and let rmail-show-message do the rest. |
2843 | Remove unused argument RAW. Fix doc string to be consistent with the | |
2844 | new implementation. | |
fc9682ad EZ |
2845 | (rmail-show-message): Honor X-Coding-System header, if present, in |
2846 | preference to Content-Type header. | |
2847 | ||
7197f5de SM |
2848 | 2009-02-07 Stefan Monnier <monnier@iro.umontreal.ca> |
2849 | ||
2850 | * server.el (server-execute): Enable quit. | |
2851 | ||
d2e9b790 AS |
2852 | 2009-02-07 Andreas Schwab <schwab@suse.de> |
2853 | ||
2854 | * image-mode.el (image-mode): Always set image-type. | |
2855 | (image-minor-mode): Likewise. | |
2856 | ||
782c80e8 EZ |
2857 | 2009-02-07 Eli Zaretskii <eliz@gnu.org> |
2858 | ||
2859 | * mail/rmailedit.el (rmail-cease-edit): Look for the message's | |
2860 | encoding, and its "content-transfer-encoding" and "content-type" | |
2861 | headers only in the headers' portion. (Bug#2017) | |
2862 | ||
201af049 UJ |
2863 | 2009-02-07 Ulf Jasper <ulf.jasper@web.de> |
2864 | ||
2865 | * net/newst-treeview.el | |
2866 | (newsticker-treeview-next-new-or-immortal-item): New arg | |
2867 | DONT-WRAP-TREES to prevent infinite recursion. | |
2868 | ||
aaaaa276 EZ |
2869 | 2009-02-07 Stefan Monnier <monnier@iro.umontreal.ca> |
2870 | ||
2871 | * mail/rmailedit.el (rmail-cease-edit): narrow-to-region before | |
2872 | extracting mail message headers. Use encode-coding-region instead | |
7197f5de SM |
2873 | of insert-buffer-substring for re-encoding edited message. |
2874 | Add qp/b64 encoding only after text was encoded. | |
aaaaa276 | 2875 | |
86a30352 EZ |
2876 | 2009-02-07 Eli Zaretskii <eliz@gnu.org> |
2877 | ||
23f467da EZ |
2878 | * subr.el: Don't declare `translation-table-for-input' obsolete. |
2879 | Equivalent info added to its doc string, q.v. | |
2880 | ||
86a30352 EZ |
2881 | * files.el (save-buffer): Display "Saving file FOO..." message |
2882 | regardless of buffer's size. (Bug#2137) | |
2883 | ||
b352f339 CY |
2884 | 2009-02-07 Chong Yidong <cyd@stupidchicken.com> |
2885 | ||
2886 | * simple.el (line-move-visual): Handle overflow-newline-into-fringe. | |
2887 | ||
cfb4688e GM |
2888 | 2009-02-07 Alex Schroeder <alex@gnu.org> |
2889 | ||
2890 | * mail/unrmail.el (unrmail): Use regexps to find message separators so | |
2891 | as not to match any fake rmime.el separators. Remove any sections added | |
2892 | by rmime.el. | |
2893 | ||
2894 | 2009-02-07 Glenn Morris <rgm@gnu.org> | |
2895 | ||
2896 | * mail/metamail.el (metamail-interpret-body): Remove Rmail-specific hack | |
2897 | that is no longer appropriate to mbox Rmail. | |
2898 | ||
2899 | * mail/rmailsum.el (rmail-create-summary-line): Widen the view buffer | |
2900 | before trying to move to the start of the message. | |
2901 | (rmail-summary-undelete-many, rmail-summary-rmail-update): | |
2902 | Fix rmail-set-attribute calls. | |
2903 | ||
2904 | * mail/sendmail.el (mail-bury-selects-summary, mail-yank-original): | |
2905 | Doc fix. | |
2906 | (rmail-output-to-rmail-buffer): Autoload it. | |
2907 | (mail-do-fcc): Give it a doc string. Update for mbox Rmail, simplify. | |
2908 | ||
186133b4 GM |
2909 | 2009-02-06 Glenn Morris <rgm@gnu.org> |
2910 | ||
81d8114e GM |
2911 | * mail/unrmail.el (unrmail): Use current-time-string. |
2912 | ||
186133b4 GM |
2913 | * simple.el (kill-whole-line): Provide a default argument of 1. |
2914 | ||
2915 | * mail/undigest.el (rmail-mail-separator): Delete. | |
2916 | (undigestify-rmail-message, unforward-rmail-message): Update for | |
2917 | mbox Rmail. | |
2918 | ||
d40d6415 KH |
2919 | 2009-02-05 Kenichi Handa <handa@m17n.org> |
2920 | ||
bba79a9c SM |
2921 | * international/fontset.el (script-representative-chars): |
2922 | Remove mathematical. | |
d40d6415 KH |
2923 | (setup-default-fontset): Add entries for each subgroup of |
2924 | mathematical script. | |
2925 | ||
97666703 JL |
2926 | 2009-02-05 Juri Linkov <juri@jurta.org> |
2927 | ||
2928 | * image-mode.el (image-mode): Use `image-get-display-property' | |
2929 | instead of `get-text-property'. | |
2930 | (image-minor-mode): Sync the logic with `image-mode' including the | |
2931 | displaying an image file as the actual image by default. | |
2932 | ||
ccf4d7c6 AM |
2933 | 2009-02-05 Alan Mackenzie <acm@muc.de> |
2934 | ||
2935 | * progmodes/cc-defs.el (c-emacs-features): Check the working of | |
2936 | beginning/end-of-defun-function more rigorously before setting | |
2937 | argumentative-bod-function. | |
2938 | ||
393439a3 DN |
2939 | 2009-02-05 Dan Nicolaescu <dann@ics.uci.edu> |
2940 | ||
2941 | * xt-mouse.el (turn-on-xterm-mouse-tracking-on-terminal) | |
2942 | (turn-off-xterm-mouse-tracking-on-terminal): Do not turn on the | |
2943 | mode on the initial terminal. | |
2944 | ||
6b6a84a1 GM |
2945 | 2009-02-05 Glenn Morris <rgm@gnu.org> |
2946 | ||
50dcb784 GM |
2947 | * mail/rmail.el (rmail-what-message, rmail-duplicate-message): |
2948 | Make these functions work. | |
6b6a84a1 GM |
2949 | |
2950 | * net/mairix.el (rmail-buffer): Remove unneeded eval-when-compile. | |
2951 | (rmail-narrow-to-non-pruned-header): Remove autoload. | |
2952 | (mairix-rmail-fetch-field): Handle mbox Rmail. | |
2953 | ||
3b36c17e MB |
2954 | 2009-02-04 Dave Love <fx@gnu.org> |
2955 | ||
2956 | * net/imap.el (imap-fetch-safe): Bind debug-on-error. | |
2957 | (imap-debug): Add imap-fetch-safe. | |
2958 | ||
2959 | 2009-02-04 Teodor Zlatanov <tzlatanov@jumptrading.com> | |
2960 | ||
2961 | * net/netrc.el (netrc-machine-user-or-password): Use list of | |
2962 | auth-source modes. | |
2963 | ||
77900a45 NR |
2964 | 2009-02-04 Nick Roberts <nickrob@snap.net.nz> |
2965 | ||
2966 | * vc-svn.el (vc-svn-diff): Revert previous change but add a test | |
a94b978f | 2967 | for newvars is nil. (C-u C-x v = with default values). |
77900a45 | 2968 | |
65105010 DN |
2969 | 2009-02-04 Dan Nicolaescu <dann@ics.uci.edu> |
2970 | ||
2971 | * vc-bzr.el (vc-bzr-working-revision): Recognize a newer branch | |
2972 | format. Use when instead of if. | |
2973 | ||
61eee794 MB |
2974 | 2009-02-04 Miles Bader <miles@gnu.org> |
2975 | ||
2976 | * emacs-lisp/lisp.el (forward-sexp, backward-sexp, forward-list) | |
2977 | (backward-list, backward-up-list, beginning-of-defun) | |
2978 | (beginning-of-defun-raw, end-of-defun): Add "^" to interactive spec. | |
2979 | ||
869692c0 GM |
2980 | 2009-02-04 Glenn Morris <rgm@gnu.org> |
2981 | ||
2982 | * mail/mail-utils.el (mail-fetch-field): Previous doc fix was | |
2983 | ill-advised. | |
2984 | ||
2985 | * mail/rmail.el: No need for mule-util when compiling. | |
2986 | (rmail-first-message): Unbreak it. | |
2987 | ||
2988 | * mail/rmailmsc.el: Require rmail not just when compiling. | |
2989 | Remove unneeded declarations. | |
2990 | ||
2991 | * term/ns-win.el (ns): Define as a custom group. | |
2992 | ||
e47fe93b KH |
2993 | 2009-02-04 Kenichi Handa <handa@m17n.org> |
2994 | ||
bba79a9c SM |
2995 | * international/mule-cmds.el (select-safe-coding-system): |
2996 | Use buffer-chars-modified-tick instead of buffer-modified-tick. | |
c381cb8d | 2997 | |
e47fe93b KH |
2998 | * descr-text.el (describe-char): Check font-object by fontp. |
2999 | ||
3000 | * composite.el (compose-gstring-for-terminal): If a character is | |
3001 | not supported by the current terminal, don't make a multi-glyph | |
3002 | grapheme cluster. | |
3003 | (auto-compose-chars): Check font-object by fontp. | |
3004 | ||
c49edcd1 GM |
3005 | 2009-02-03 Glenn Morris <rgm@gnu.org> |
3006 | ||
58400e4f GM |
3007 | * mail/unrmail.el (unrmail): In the absence of Mail-from, prefer Date |
3008 | over current-time. (Bug#2175) | |
3009 | ||
c49edcd1 GM |
3010 | * mail/rmail.el (rmail-convert-babyl-to-mbox): Disable undo while |
3011 | inserting the converted mailbox. | |
3012 | (rmail-get-new-mail): Restore the loop over inboxes when two or more | |
3013 | have the same basename. (Bug#2164) | |
3014 | Set the return value according to whether any mail was found, | |
3015 | not just in the last inbox. Only show a message and run the hook after | |
3016 | checking all inboxes. | |
3017 | (rmail-get-new-mail-1): Move message display and running the hook to | |
3018 | rmail-get-new-mail. | |
3019 | ||
3020 | * mail/rmailkwd.el (rmail-label-obarray): Initialize using | |
3021 | rmail-attr-array. | |
3022 | (rmail-make-label, rmail-read-label): Give it a doc string. | |
3023 | (rmail-add-label, rmail-kill-label): Change argument name to match the | |
3024 | doc. Doc fix. | |
3025 | (rmail-set-label): Doc fix. Also update summary in attr case. | |
3026 | Accept labels as a string or a symbol. (Bug#2165) | |
3027 | ||
3028 | * mail/rmailsum.el (rmail-get-summary-labels): Treat empty labels as | |
3029 | null. | |
3030 | ||
86905e5b SM |
3031 | 2009-02-02 Stefan Monnier <monnier@iro.umontreal.ca> |
3032 | ||
68a47940 SM |
3033 | * progmodes/vhdl-mode.el (vhdl-prepare-search-1) |
3034 | (vhdl-prepare-search-2): Properly cleanup on `quit'. | |
3035 | ||
86905e5b SM |
3036 | * progmodes/vhdl-mode.el (vhdl-mode-abbrev-table-init): |
3037 | Mark the entries as being "system" entries rather than "user" entries. | |
3038 | ||
1b7c198a TTN |
3039 | 2009-02-02 Thien-Thi Nguyen <ttn@gnuvola.org> |
3040 | ||
3041 | * smerge-mode.el (smerge-start-session): Add interactive spec. | |
3042 | ||
236ab005 GM |
3043 | 2009-02-02 Glenn Morris <rgm@gnu.org> |
3044 | ||
3045 | * mail/rmail.el (rmail-ignored-headers, rmail-displayed-headers) | |
3046 | (rmail-retry-ignored-headers, rmail-highlighted-headers): | |
3047 | Restore autoloads. | |
3048 | ||
5692cc8c SM |
3049 | 2009-02-02 Stefan Monnier <monnier@iro.umontreal.ca> |
3050 | ||
3051 | * emacs-lisp/bytecomp.el (emacs-lisp-file-regexp): Fix up EOB. | |
3052 | ||
8f4cafbc SM |
3053 | 2009-02-01 Stefan Monnier <monnier@iro.umontreal.ca> |
3054 | ||
3055 | * cmuscheme.el (scheme-args-to-list): Remove. | |
3056 | (run-scheme): Use split-string-and-unquote instead, so as to allow | |
3057 | spaces in the command name and/or the arguments. | |
3058 | ||
516907a2 AR |
3059 | 2009-02-01 Adrian Robert <Adrian.B.Robert@gmail.com> |
3060 | ||
3061 | * term/ns-win.el (ns-save-preferences): Save ns-confirm-quit option. | |
3062 | ||
cb85dcd0 MA |
3063 | 2009-02-01 Michael Albinus <michael.albinus@gmx.de> |
3064 | ||
3065 | Sync with Tramp 2.1.15. | |
3066 | ||
bba79a9c | 3067 | * net/tramp.el (tramp-read-passwd): Cache passwords shall still be |
cb85dcd0 MA |
3068 | used. |
3069 | ||
3070 | * net/tramp-cache.el (top): Autoload `tramp-run-real-handler'. | |
3071 | ||
3072 | * net/trampver.el: Update release number. | |
3073 | ||
befa8175 SM |
3074 | 2009-02-01 Stefan Monnier <monnier@iro.umontreal.ca> |
3075 | ||
2dc00ad0 SM |
3076 | * mail/rmail.el (rmail-view-buffer): Make buffer-local. |
3077 | (rmail-select-summary, rmail-perm-variables, rmail-redecode-body) | |
3078 | (rmail-undelete-previous-message, rmail-mark-message) | |
3079 | (rmail-speedbar-buttons): Use with-current-buffer. | |
3080 | (rmail-mode-map): Move initialization into declaration. | |
3081 | (rmail-swap-buffers, rmail-unfontify-buffer-function) | |
3082 | (rmail-fontify-message): Use restore-buffer-modified-p. | |
3083 | (rmail-expunge-and-save): Don't swap buffer. | |
3084 | (rmail-get-header, rmail-set-header, rmail-set-attribute) | |
3085 | (rmail-apply-in-message): Swap save-excursion and save-restriction. | |
3086 | ||
73d7bcb9 SM |
3087 | * mail/rmailsort.el (rmail-sort-messages): Use car-less-than-car |
3088 | if possible. | |
3089 | ||
befa8175 SM |
3090 | * mail/rmailedit.el (rmail-edit-map): Move init into declaration. |
3091 | (rmail-edit-mode, rmail-cease-edit): Use with-current-buffer. | |
3092 | ||
fa043571 SM |
3093 | 2009-01-31 Stefan Monnier <monnier@iro.umontreal.ca> |
3094 | ||
ceaabbbd SM |
3095 | * mouse.el (mouse-drag-mode-line-1): Obey mouse-1-click-follows-link. |
3096 | ||
fa043571 SM |
3097 | * progmodes/ebrowse.el: |
3098 | * international/robin.el: | |
3099 | * emulation/viper-util.el: | |
3100 | * emulation/viper-mous.el: | |
3101 | * emulation/viper-keym.el: | |
3102 | * ediff.el: | |
3103 | * ediff-wind.el: | |
3104 | * ediff-vers.el: | |
3105 | * ediff-ptch.el: | |
3106 | * ediff-mult.el: | |
3107 | * ediff-merg.el: | |
3108 | * ediff-diff.el: Follow commenting conventions. | |
3109 | ||
062ca6f6 EZ |
3110 | 2009-01-31 Eli Zaretskii <eliz@gnu.org> |
3111 | ||
3112 | * mail/rmail.el (rmail-redecode-body): Use eight-bit instead of | |
3113 | obsolete eight-bit-control and eight-bit-graphic. | |
3114 | ||
53112453 SM |
3115 | 2009-01-31 Stefan Monnier <monnier@iro.umontreal.ca> |
3116 | ||
3117 | * emacs-lisp/elp.el (elp-results-symname-map): Add follow-link. | |
3118 | ||
a1f06207 CY |
3119 | 2009-01-31 Chong Yidong <cyd@stupidchicken.com> |
3120 | ||
3121 | * emacs-lisp/lisp.el (end-of-defun): Protect against | |
3122 | infloops (Bug#2106). | |
3123 | ||
1826af5e DN |
3124 | 2009-01-31 Dan Nicolaescu <dann@ics.uci.edu> |
3125 | ||
5d3b5ecc DN |
3126 | * vc-dir.el (vc-dir-mouse-map): |
3127 | (vc-default-dir-printer): | |
3128 | (vc-dir-find-file-other-window): Undo previous change, it breaks | |
3129 | the behavior of mouse-2. | |
ae42a852 | 3130 | (vc-dir-mode): Fix docstring. |
5d3b5ecc | 3131 | |
1826af5e DN |
3132 | * vc-svn.el (vc-svn-dir-status): Disable the vc-stay-local-p |
3133 | logic, it makes the makes the normal case too slow. | |
3134 | ||
aa8c6958 EZ |
3135 | 2009-01-31 Eli Zaretskii <eliz@gnu.org> |
3136 | ||
de456f3b EZ |
3137 | * mail/unrmail.el (unrmail): Set coding-system of the old Rmail |
3138 | file to `raw-text-unix'. Bind coding-system-for-write when | |
bba79a9c | 3139 | writing out the converted message, and make sure it uses Unix EOLs. |
de456f3b | 3140 | |
aa8c6958 EZ |
3141 | * mail/rmail.el (rmail-convert-babyl-to-mbox): Set Rmail's buffer |
3142 | encoding to `raw-text-unix'. | |
3143 | (rmail-perm-variables): Set encoding of rmail-view-buffer to | |
3144 | `undecided-unix'. | |
0300c339 EZ |
3145 | (rmail-redecode-body): Don't change EOL conversion of the new |
3146 | encoding if the old one left it unspecified. | |
6e9db169 EZ |
3147 | (rmail-automatic-folder-directives, rmail): Doc fixes. |
3148 | (rmail-swap-buffers): New function. | |
3149 | (rmail-change-major-mode-hook, rmail-swap-buffers-maybe) | |
3150 | (rmail-show-message): Use rmail-swap-buffers. | |
3151 | (rmail-decode-region): If DESTINATION is a buffer, set the | |
3152 | encoding of that buffer, rather than the current buffer. | |
3153 | (rmail-show-message): Make error message about uuencoded mail | |
3154 | more explicit. | |
3155 | (rmail-show-message-maybe): Simplify code. Doc fix. | |
3156 | (rmail-show-message): Doc fix. | |
aa8c6958 | 3157 | |
816b3721 GM |
3158 | 2009-01-31 Glenn Morris <rgm@gnu.org> |
3159 | ||
ab383a61 GM |
3160 | * mail/rmailsum.el (rmail-new-summary): Don't try to summarize an empty |
3161 | folder. | |
3162 | ||
816b3721 GM |
3163 | * mail/rmail.el (rmail-msg-is-pruned): New function. |
3164 | (rmail-toggle-header): Doc fix. Use rmail-msg-is-pruned. | |
3165 | (rmail-reply): Set up to yank from the decoded message rather than the | |
3166 | raw one. (Bug#2104). | |
3167 | ||
3168 | * mail/mail-utils.el (mail-fetch-field): Doc fix. | |
3169 | ||
3170 | * mail/uce.el (rmail-current-message): Remove unneeded declaration. | |
3171 | (uce-message-text, uce-default-headers): Fix custom type. | |
3172 | (rmail-buffer, rmail-msg-is-pruned): Declare. | |
3173 | (uce-reply-to-uce): Add autoload cookie. Doc fix. | |
3174 | Update for mbox Rmail. | |
3175 | ||
f11e5363 NR |
3176 | 2009-01-31 Nick Roberts <nickrob@snap.net.nz> |
3177 | ||
3178 | * vc-dir.el (vc-dir-mouse-map): New keymap. | |
3179 | (vc-default-dir-printer): Use it locally. | |
3180 | (vc-dir-find-file-other-window): Allow mouse events. | |
3181 | ||
39514778 EZ |
3182 | 2009-01-30 Eli Zaretskii <eliz@gnu.org> |
3183 | ||
a880e5b9 EZ |
3184 | * mail/unrmail.el (unrmail): Fix conversion of BABYL attributes |
3185 | into Rmail-mbox attributes. | |
3186 | ||
bba79a9c SM |
3187 | * mail/rmail.el (rmail-convert-babyl-to-mbox): |
3188 | Bind coding-system-for-read to `raw-text', and use insert-file-contents | |
39514778 | 3189 | instead of insert-file-contents-literally. Fixes bug #2019. |
a880e5b9 | 3190 | (rmail-resent-attr-index): Fix index value. |
39514778 | 3191 | |
338efead NR |
3192 | 2009-01-30 Nick Roberts <nickrob@snap.net.nz> |
3193 | ||
3194 | * vc-hooks.el (vc-stay-local-p): Let vc-BACKEND-stay-local take | |
5e36bc05 | 3195 | precedence even when its value is t. (Bug#2093) |
338efead | 3196 | |
816b3721 GM |
3197 | * vc-svn.el (vc-svn-dir-status): Correct logic for remote |
3198 | connection. (Bug#2093) | |
338efead | 3199 | (vc-svn-diff): Use old version number even when it is the working |
5e36bc05 | 3200 | revision. This is needed, e.g, in the vc-print-log buffer. (Bug#2092) |
338efead | 3201 | |
45bd6461 GM |
3202 | 2009-01-30 Glenn Morris <rgm@gnu.org> |
3203 | ||
3204 | * mail/rmail.el (rmail-reply): Handle empty folders. | |
4ddca2c5 GM |
3205 | (rmail-speedbar-buttons) |
3206 | (rmail-speedbar-move-message-to-folder-on-line): Use line-end-position. | |
45bd6461 GM |
3207 | |
3208 | * mail/rmailsum.el (rmail-summary-update-line): Replace duplicate | |
3209 | line-beginning-position calls. | |
4ddca2c5 | 3210 | (rmail-header-summary): Use line-end-position. |
45bd6461 | 3211 | |
d1501442 GM |
3212 | 2009-01-30 Toby Speight <streapadair@gmx.net> (tiny change) |
3213 | ||
3214 | * generic-x.el (hosts-generic-mode, named-database-generic-mode): | |
5e36bc05 | 3215 | Fix regexp quoting. (Bug#1739) |
d1501442 | 3216 | |
5c7043a2 MA |
3217 | 2009-01-29 Michael Albinus <michael.albinus@gmx.de> |
3218 | ||
bba79a9c SM |
3219 | * net/tramp.el (tramp-process-actions, tramp-read-passwd): |
3220 | Allow correction of passwords. | |
5c7043a2 | 3221 | |
7c2758bf CY |
3222 | 2009-01-29 Chong Yidong <cyd@stupidchicken.com> |
3223 | ||
3224 | * mail/rmail.el (rmail-toggle-header): Determine current header | |
3225 | style using the buffer-local value of rmail-header-style in the | |
3226 | view buffer. | |
3227 | (rmail-show-message): Set a buffer-local value of | |
5e36bc05 | 3228 | rmail-header-style in the view buffer. (Bug#2016) |
7c2758bf | 3229 | |
426bf359 JB |
3230 | 2009-01-29 Juanma Barranquero <lekktu@gmail.com> |
3231 | ||
3232 | * vc-dir.el (vc-dir-menu-map, vc-dir-at-event, vc-dir-resynch-file): | |
3233 | Fix typos in docstrings. | |
3234 | ||
bcca751d JB |
3235 | 2009-01-29 Juanma Barranquero <lekktu@gmail.com> |
3236 | ||
3237 | * bindings.el (completion-ignored-extensions): | |
3238 | Add entries for VCS metadata directories (CVS/, .svn, etc). | |
3239 | ||
3240 | * pcvs.el (completion-ignored-extensions): | |
3241 | * vc-svn.el (completion-ignored-extensions): Don't add VCS-specific | |
3242 | directory, now done in bindings.el. | |
3243 | ||
3c8ccebb GM |
3244 | 2009-01-29 Klaus Straubinger <KSNetz@UseNet.ArcorNews.DE> (tiny change) |
3245 | ||
426bf359 | 3246 | * pcmpl-rpm.el (pcmpl-rpm): Doc fix. (Bug#2094) |
3c8ccebb | 3247 | |
04c17bea GM |
3248 | 2009-01-29 Glenn Morris <rgm@gnu.org> |
3249 | ||
3250 | * mail/rmail.el (rmail-file-name): Remove duplicate definition. | |
3251 | (rmail-set-header): Ensure header changes get saved. | |
3252 | ||
3253 | * mail/rmailedit.el (rmail-summary-disable): Fix declaration. | |
3254 | ||
3255 | * mail/rmailkwd.el (rmail-set-label): Update the summary, if there is | |
3256 | one, after a label is added. | |
3257 | ||
3258 | * mail/rmailsum.el (rmail-summary-update-line): New function. | |
3259 | (rmail-summary-goto-msg): Return a value according to whether message | |
3260 | was found. | |
3261 | (rmail-summary-update-highlight): Give the overlay an 'rmail-summary | |
3262 | property. Use line-end-position. | |
3263 | ||
fce18d38 CY |
3264 | 2009-01-29 Chong Yidong <cyd@stupidchicken.com> |
3265 | ||
45ce0616 CY |
3266 | * mail/rmailedit.el (rmail-cease-edit): Add additional quotes to |
3267 | quoted From lines. | |
3268 | ||
fce18d38 CY |
3269 | * mail/rmail.el (rmail-redecode-body): New function, based on old |
3270 | version removed in 2009-01-22 change. | |
45ce0616 | 3271 | (rmail-show-message): Unquote From lines. |
fce18d38 | 3272 | |
85699772 TH |
3273 | 2009-01-28 Tassilo Horn <tassilo@member.fsf.org> |
3274 | ||
3275 | * doc-view.el (doc-view-mode): Don't use string-match and file | |
3276 | extension to choose fallback mode on empty/non-existing files but | |
3277 | let-bind auto-mode-alist and call normal-mode. | |
3278 | ||
40077a52 EZ |
3279 | 2009-01-28 Eli Zaretskii <eliz@gnu.org> |
3280 | ||
3281 | * ls-lisp.el (ls-lisp-classify): Propertize file name before | |
3282 | concatenating the type indicating character. | |
3283 | (ls-lisp-format): Don't propertize file-name only if | |
3284 | ls-lisp-classify already did. | |
3285 | ||
f213fc09 MA |
3286 | 2009-01-28 Michael Albinus <michael.albinus@gmx.de> |
3287 | ||
bcca751d JB |
3288 | * net/dbus.el (dbus-event-error-hooks): Fix docstring. |
3289 | Describe second parameter of hook functions. | |
f213fc09 MA |
3290 | (dbus-handle-event): Apply it. |
3291 | ||
09881c0d CY |
3292 | 2009-01-28 Chong Yidong <cyd@stupidchicken.com> |
3293 | ||
3294 | * mail/rmail.el (rmail-toggle-header): Don't reset | |
3295 | rmail-header-style permanently (bug#2016). | |
3296 | ||
82536e1b CY |
3297 | 2009-01-28 Denis Howe <denis.howe@gmail.com> |
3298 | ||
3299 | * complete.el (PC-do-completion): Correct case when completion is | |
3300 | unambiguous. | |
3301 | ||
943ff210 CY |
3302 | 2009-01-28 Stephen Berman <stephen.berman@gmx.net> |
3303 | ||
04c17bea GM |
3304 | * calendar/todo-mode.el (todo-insert-item-here): |
3305 | Prevent insertion of a new entry inside of an existing entry. | |
3306 | Minor code cleanup. (Bug#2084) | |
943ff210 | 3307 | (todo-add-category): Change the interactive spec. Signal an error |
bba79a9c SM |
3308 | if the Todo file is non-empty but contains no category. |
3309 | Reject category names that could induce bugs and confusion. | |
3310 | Call todo-mode if the Todo file is new and unsaved. Simplify handling | |
943ff210 CY |
3311 | of local variables cookie. Properly display the newly added |
3312 | category in Todo mode. | |
3313 | (todo-show): Call todo-initial-setup only if there is neither a | |
147135ac | 3314 | Todo file nor a corresponding unsaved buffer. (Bug#2085) |
943ff210 CY |
3315 | (todo-category-alist): Delete function. |
3316 | (todo-completing-read): New function. | |
3317 | (todo-insert-item, todo-jump-to-category): Use it. | |
3318 | (todo-insert-item): Make the use of the prefix argument conform to | |
147135ac | 3319 | the doc string. (Bug#2086) |
943ff210 | 3320 | |
9940a3ec GM |
3321 | 2009-01-28 Glenn Morris <rgm@gnu.org> |
3322 | ||
3323 | * mail/rmail.el (rmail-expunge-and-save): Always show a message, | |
3324 | even when called from the summary. (Bug#2075) | |
3325 | (rmail-no-mail-p): New func, extracted from rmail-show-message-maybe. | |
3326 | (rmail-show-message-maybe): Use rmail-no-mail-p. | |
3327 | (rmail-show-message): If empty folder, do nothing. (Bug#2076) | |
9940a3ec GM |
3328 | (rmail-get-labels): Separate status and label fields with a semicolon, |
3329 | rather than a comma, for consistency with old Rmail. | |
3330 | ||
3331 | * mail/rmailsum.el (rmail-message-recipients-p-1): Don't bother | |
3332 | starting from the beginning, since mail-fetch-field does not care. | |
3333 | (rmail-create-summary-line): Fix unseen handling. (Bug#2089) | |
3334 | (rmail-get-summary-status): New name for old rmail-get-summary-labels. | |
3335 | (rmail-get-summary-labels): New function. Returns labels rather than | |
3336 | status (edited, etc). | |
3337 | (rmail-create-summary): Insert an extra space after the status | |
3338 | flags, for consistency with old rmail, and with current | |
3339 | rmail-summary-font-lock-keywords. | |
3340 | ||
406ed4bd CY |
3341 | 2009-01-28 Chong Yidong <cyd@stupidchicken.com> |
3342 | ||
147135ac JB |
3343 | * progmodes/perl-mode.el (perl-indent-line-command): Call indent-region |
3344 | if region is active. Use cond instead of nested ifs. (Bug#2053) | |
406ed4bd | 3345 | |
0112aa1b CY |
3346 | 2009-01-27 Chong Yidong <cyd@stupidchicken.com> |
3347 | ||
eaf49a68 CY |
3348 | * mail/rmail.el (rmail-convert-babyl-to-mbox): Prompt user before |
3349 | converting. | |
3350 | ||
0112aa1b CY |
3351 | * mail/unrmail.el (unrmail): Record labels in X-RMAIL-* fields, |
3352 | which are recognized by the new Rmail, instead of X-BABYL-V6-*. | |
3353 | ||
90252880 CY |
3354 | 2009-01-27 Christopher Oliver <coliver@message.nmc.edu> |
3355 | ||
3356 | * mouse.el (mouse-select-font): Return a string instead of a list. | |
3357 | ||
39a7b589 CY |
3358 | 2009-01-27 Chong Yidong <cyd@stupidchicken.com> |
3359 | ||
ff23ac21 CY |
3360 | * bindings.el: Swap C-delete and C-backspace bindings here, so we |
3361 | don't have to do it in normal-erase-is-backspace-mode. | |
39a7b589 CY |
3362 | |
3363 | * simple.el (normal-erase-is-backspace-mode): Don't swap C-delete | |
3364 | and C-backspace; the latter is not available on ttys anyway. | |
3365 | ||
ff0def0e TH |
3366 | 2009-01-27 Tassilo Horn <tassilo@member.fsf.org> |
3367 | ||
3368 | (doc-view-mode): Fix broken call to string-match. | |
3369 | ||
06a21f70 TH |
3370 | 2009-01-27 Tassilo Horn <tassilo@member.fsf.org> |
3371 | ||
3372 | * doc-view.el (doc-view-mode): Enhance docstring and fallback to | |
3373 | fundamental-mode or ps-mode if the file is empty or doesn't exist | |
3374 | at all. | |
3375 | (doc-view-initiate-display): Better info message if doc-view-mode | |
3376 | cannot be used. (Missing utility or no png support/no X frame.) | |
147135ac | 3377 | (Bug#2058) |
06a21f70 | 3378 | |
3b5ad654 GM |
3379 | 2009-01-27 Glenn Morris <rgm@gnu.org> |
3380 | ||
3381 | * mail/rmail.el (rmail-copy-headers): Doc fix. Leave point at the end | |
3382 | of the headers in the full header case. (Bug#2073) | |
3383 | ||
fe83a300 KH |
3384 | 2009-01-27 Kenichi Handa <handa@m17n.org> |
3385 | ||
3386 | * mail/rmail.el (rmail-get-coding-system): Re-search | |
3387 | rmail-mime-charset-pattern directly instead of using | |
3388 | mail-fetch-field. Use coding-system-from-name. | |
3389 | ||
3390 | * international/mule-cmds.el (canonicalize-coding-system-name) | |
3391 | (coding-system-from-name): New functions. | |
3392 | ||
3176a6a0 GM |
3393 | 2009-01-27 Glenn Morris <rgm@gnu.org> |
3394 | ||
3395 | * dired-x.el (dired-bind-vm): Doc fix. | |
3396 | ||
3397 | * mail/rmail-spam-filter.el: Remove leading `*' from defcustom docs. | |
3398 | Replace `first' and `rest' with `car' and `cdr' throughout. | |
3399 | (rsf-auto-delete-spam-bbdb-entries): Remove unused variable. | |
3400 | (rsf-scanning-messages-now): Doc fix. | |
3401 | (rsf-check-field): Rename from `check-field' and update callers. | |
3402 | (rmail-spam-filter): Fix bbdb/mail_auto_create_p oddness. | |
3403 | Use rmail-output rather than deleted rmail-output-to-rmail-file. | |
3404 | ||
3405 | * mail/rmail.el: Remove leading `*' from defcustom docs. | |
3406 | Remove needless autoloads of many defcustoms and hooks. | |
3407 | (rmail-enable-mime, rmail-insert-mime-resent-message-function) | |
3408 | (rmail-search-mime-message-function, rmail-search-mime-header-function) | |
3409 | (rmail-decode-mime-charset): Doc fix. | |
3410 | (rmail-search-message, rmail-resend): Check functions set before use. | |
3411 | ||
3412 | * mail/rmailout.el: Remove leading `*' from defcustom docs. | |
3413 | Remove needless autoloads of many defcustoms. | |
3414 | (rmail-output-to-babyl-buffer): Rename from | |
3415 | rmail-output-to-r-mail-buffer and update caller. | |
3416 | (rmail-output): Doc fix. | |
3417 | ||
3418 | * mail/rmailsum.el: Remove leading `*' from defcustom docs. | |
3419 | Remove needless autoloads of many defcustoms. | |
3420 | (rmail-message-regexp-p-1): Check rmail-search-mime-header-function | |
3421 | is set. | |
3422 | ||
3423 | * mail/unrmail.el (command-line-args-left): Remove unneeded declaration. | |
3424 | (batch-unrmail, unrmail): Doc fix. | |
6682b72d CY |
3425 | |
3426 | * mail/rmailsum.el (rmail-message-recipients-p-1) | |
9940a3ec GM |
3427 | (rmail-message-regexp-p-1): Ensure searches start from the |
3428 | beginning of the header. | |
6682b72d | 3429 | |
04ce399c CY |
3430 | 2009-01-27 Chong Yidong <cyd@stupidchicken.com> |
3431 | ||
3432 | * mail/rmailsum.el (rmail-summary-mark-deleted): Recache summary. | |
3433 | ||
d22546d5 JB |
3434 | 2009-01-27 Jay Belanger <jay.p.belanger@gmail.com> |
3435 | ||
3436 | * calc/calc-sel.el (cal-sel-mult-both-sides): Add an option to | |
3437 | expand the denominator. | |
3438 | ||
e12c189f MA |
3439 | 2009-01-26 Michael Albinus <michael.albinus@gmx.de> |
3440 | ||
3441 | * net/dbus.el (dbus-event-error-hooks): New variable. | |
3442 | (dbus-handle-event): Use it. | |
3443 | ||
ac120e6d GM |
3444 | 2009-01-26 Glenn Morris <rgm@gnu.org> |
3445 | ||
9f798291 GM |
3446 | * Makefile.in (ELCFILES): Update. |
3447 | ||
ac120e6d GM |
3448 | * mail/rmail.el (rmail-reply): Don't include Resent-To and Resent-Cc in |
3449 | replies. (Bug#512) | |
3450 | ||
3edf5284 UJ |
3451 | 2009-01-25 Ulf Jasper <ulf.jasper@web.de> |
3452 | ||
53112453 | 3453 | * calendar/icalendar.el (icalendar-uid-format): Fix doc string. |
3edf5284 | 3454 | |
33b35792 AR |
3455 | 2009-01-25 Adrian Robert <Adrian.B.Robert@gmail.com> |
3456 | ||
3457 | * term/ns-win.el (ns-insert-working-text, ns-delete-working-text): | |
3458 | Remove from global map and key definitions. | |
3459 | (ns-toggle-toolbar, ns-info-prefs): Add to global map and key | |
3460 | definitions. | |
3461 | (ns-show-preferences-help, ns-unput-working-text): New functions. | |
3462 | (ns-unecho-working-text): Update message. | |
3463 | ||
447cfa66 | 3464 | 2009-01-25 Craig Markwardt <cbmarkwardt@gmail.com> |
f052351a UJ |
3465 | |
3466 | * calendar/icalendar.el (icalendar-uid-format): New defcustom | |
3467 | variable to allow the user to choose icalendar UID format. | |
3468 | (icalendar--diarytime-to-isotime): Bug fix, now times in the range | |
53112453 | 3469 | 12:00am-12:59am are correctly converted to 0000-0059, instead of 12pm. |
a94b978f | 3470 | (icalendar-export-region, icalendar--create-uid): Use custom |
f052351a UJ |
3471 | function to compute icalendar UID for each entry. |
3472 | (icalendar--parse-summary-and-rest): Bug fix for parsing of lines | |
3473 | with description, location, etc. fields (need to keep active count | |
3474 | of fields encountered). Another bug fix to the regex that matches | |
3475 | multiple lines (need \' regex instead of $ to match end-of-entry). | |
3476 | ||
5d91db30 JL |
3477 | 2009-01-25 Juri Linkov <juri@jurta.org> |
3478 | ||
3479 | * progmodes/grep.el (grep-mode-map): Put grep-find before grep and | |
3480 | change its title from "Recursive grep..." to "Grep via Find...". | |
3481 | Add menu items for lgrep and rgrep. | |
3482 | ||
fd809159 VJL |
3483 | 2009-01-24 Vinicius Jose Latorre <viniciusjl@ig.com.br> |
3484 | ||
3485 | * ps-print.el (ps-print-version): New version 7.3.4. | |
3486 | ||
3487 | 2009-01-24 Eduard Wiebe <usenet@pusto.de> (tiny change) | |
3488 | ||
3489 | * ps-print.el: Fix face background/foreground extraction. | |
3490 | (ps-face-extract-color): New fun. | |
3491 | (ps-face-attributes, ps-face-attribute-list): Code fix. | |
3492 | ||
6ac30b5b CY |
3493 | 2009-01-24 Geoff Gole <geoffgole@gmail.com> (tiny change) |
3494 | ||
3495 | * align.el (align-region): Avoid infloop. | |
3496 | ||
207422da EZ |
3497 | 2009-01-24 Eli Zaretskii <eliz@gnu.org> |
3498 | ||
001c6204 EZ |
3499 | * env.el (environment): Function removed. |
3500 | ||
447cfa66 JB |
3501 | * international/mule-cmds.el (set-language-environment-coding-systems): |
3502 | If default-buffer-file-coding-system is nil, set up to have EOLs that | |
3503 | are native for the underlying system-type. (Bug#1853) | |
d8cb7e3d | 3504 | (prefer-coding-system, set-default-coding-systems): Doc fix. |
207422da | 3505 | |
eec6d5b7 JB |
3506 | 2009-01-24 Juanma Barranquero <lekktu@gmail.com> |
3507 | ||
3508 | * emacs-lisp/eldoc.el (eldoc-function-argstring-format): | |
3509 | Revert 2007-09-26 change; use `string-match-p' to check for &keywords. | |
3510 | (eldoc-get-fnsym-args-string, eldoc-highlight-function-argument): | |
3511 | Use `string-match-p'. | |
3512 | ||
80016d6e UJ |
3513 | 2009-01-24 Ulf Jasper <ulf.jasper@web.de> |
3514 | ||
3515 | * net/newst-treeview.el (newsticker--treeview-first-feed): New. | |
3516 | (newsticker-treeview-next-new-or-immortal-item): Try first-feed if | |
3517 | next-feed does not succeed. Fixes bug#1710 even more. | |
53112453 | 3518 | (newsticker-treeview-next-feed): Simplify. |
80016d6e UJ |
3519 | (newsticker--group-get-feeds): Suppress group names in result. |
3520 | ||
08324aaa AR |
3521 | 2009-01-24 Adrian Robert <Adrian.B.Robert@gmail.com> |
3522 | ||
3523 | * term/ns-win.el (menu-bar-select-frame): Drop this override. | |
3524 | (menu-bar-update-frames): Update call to menu-bar-select-frame. | |
3525 | ||
38291a39 GM |
3526 | 2009-01-24 Glenn Morris <rgm@gnu.org> |
3527 | ||
3528 | * mail/rmail.el (rmail-insert-inbox-text): Revert replacement of | |
3529 | "popmail" by "pormail". | |
3530 | ||
3531 | * language/ethio-util.el (rmail-current-message, rmail-message-vector): | |
3532 | Remove unneeded declarations. | |
3533 | ||
18e50b48 JB |
3534 | 2009-01-24 Jay Belanger <jay.p.belanger@gmail.com> |
3535 | ||
3536 | * calc/calc-poly.el (calcFunc-apart): Improve test for | |
3537 | rational functions. | |
3538 | ||
4e231ada CY |
3539 | 2009-01-23 Chong Yidong <cyd@stupidchicken.com> |
3540 | ||
1a1115b2 CY |
3541 | * mail/rmailsum.el (rmail-summary-by-labels): Correctly handle |
3542 | return value of rmail-get-labels. | |
3543 | ||
4e231ada CY |
3544 | * mail/rmailkwd.el (rmail-next-labeled-message): Correctly handle |
3545 | return value of rmail-get-labels. | |
3546 | ||
3547 | * mail/rmail.el (rmail-get-labels): Obey the MSG argument. | |
64cc3cf6 | 3548 | (rmail-buffer-swapped): Fix last change. (Bug#1997) |
4e231ada | 3549 | |
c04ed27e UJ |
3550 | 2009-01-23 Ulf Jasper <ulf.jasper@web.de> |
3551 | ||
3552 | * net/newst-backend.el (newsticker--cache-read): Offer deletion of | |
3553 | old cache file. Fixes bug#1710. | |
3554 | ||
5ae437e9 CY |
3555 | 2009-01-23 Chong Yidong <cyd@stupidchicken.com> |
3556 | ||
3557 | * mail/rmail.el (rmail-generate-viewer-buffer): Fix typo. | |
3558 | ||
9d8f6d31 AR |
3559 | 2009-01-23 Adrian Robert <Adrian.B.Robert@gmail.com> |
3560 | ||
53112453 SM |
3561 | * term/ns-win.el (ns-insert-working-text, ns-put-working-text): |
3562 | Switch names and update comments for clarity. | |
9d8f6d31 | 3563 | |
87248b44 SM |
3564 | 2009-01-23 Stefan Monnier <monnier@iro.umontreal.ca> |
3565 | ||
3566 | * mail/rmail.el (rmail-write-region-annotate): Only switch buffer if | |
3567 | start is nil, as was done in tar-mode.el. | |
3568 | ||
b7796562 GM |
3569 | 2009-01-23 Glenn Morris <rgm@gnu.org> |
3570 | ||
3571 | * mail/sendmail.el (mail-bury): Revert previous change now pmail is no | |
3572 | more. | |
3573 | ||
3574 | * mail/uce.el (rmail-msg-is-pruned): Remove declaration of deleted func. | |
3575 | ||
a5116e47 GM |
3576 | 2009-01-23 Chong Yidong <cyd@stupidchicken.com> |
3577 | ||
447cfa66 | 3578 | * server.el (server-name): Make it a defcustom. (Bug#1995) |
a861b8d6 | 3579 | |
a5116e47 GM |
3580 | * mail/rmail.el (rmail-buffer-swapped): Mark as permanent local. |
3581 | ||
3582 | 2009-01-23 Glenn Morris <rgm@gnu.org> | |
3583 | ||
3584 | * Makefile.in (ELCFILES): Update. | |
3585 | ||
3586 | 2009-01-22 Ulf Jasper <ulf.jasper@web.de> | |
3587 | ||
3588 | * net/newst-treeview.el | |
3589 | (newsticker-treeview-next-new-or-immortal-item): New optional arg | |
3590 | current-item-counts. Move to next feed if necessary. (Bug#1569) | |
3591 | (newsticker-treeview-prev-new-or-immortal-item): Move to previous | |
3592 | feed if necessary. (Bug#1569) | |
3593 | (newsticker-treeview-next-feed) | |
3594 | (newsticker-treeview-prev-feed): New optional arg stay-in-tree. | |
3595 | Don't activate node nil. (Bug#1569) | |
3596 | ||
3597 | 2009-01-22 Paul Reilly <pmr@pajato.com> | |
6bee39c5 CY |
3598 | Henrik Enberg <enberg@printf.se> |
3599 | Alex Schroeder <alex@gnu.org> | |
3600 | Chong Yidong <cyd@stupidchicken.com> | |
3601 | Richard M Stallman <rms@gnu.org> | |
3602 | Glenn Morris <rgm@gnu.org> | |
3603 | Juanma Barranquero <lekktu@gmail.com> | |
3604 | ||
fb8e4ec8 | 3605 | * mail/rmail.el: Code implementing Rmail-mbox functionality. |
6bee39c5 CY |
3606 | (rmail-attribute-header, rmail-keyword-header) |
3607 | (rmail-answered-attr-index, rmail-deleted-attr-index) | |
3608 | (rmail-edited-attr-index, rmail-filed-attr-index) | |
3609 | (rmail-retried-attr-index, rmail-forwarded-attr-index) | |
3610 | (rmail-unseen-attr-index, rmail-resent-attr-index) | |
3611 | (rmail-attr-array, rmail-header-style, rmail-file-name) | |
3612 | (rmail-buffer-swapped): New vars. | |
3613 | (rmail-convert-file-maybe, rmail-error-bad-format) | |
3614 | (rmail-convert-babyl-to-mbox, rmail-get-coding-system) | |
3615 | (rmail-generate-viewer-buffer, rmail-change-major-mode-hook) | |
3616 | (rmail-buffers-swapped-p, rmail-swap-buffers-maybe) | |
3617 | (rmail-mode-kill-buffer-hook, rmail-get-new-mail-1) | |
3618 | (rmail-get-new-mail-filter-spam, rmail-add-mbox-headers) | |
3619 | (rmail-get-header, rmail-set-header, rmail-get-attr-names) | |
3620 | (rmail-get-keywords, rmail-get-labels, rmail-get-attr-value) | |
3621 | (rmail-message-attr-p, rmail-message-unseen-p) | |
3622 | (rmail-apply-in-message, rmail-collect-deleted, rmail-widen) | |
3623 | (rmail-show-message-maybe, rmail-copy-headers) | |
3624 | (rmail-simplified-subject, rmail-simplified-subject-regexp) | |
3625 | (rmail-write-region-annotate): New functions. | |
3626 | ||
53112453 | 3627 | (rmail-keywords, rmail-default-rmail-file): Delete vars. |
6bee39c5 CY |
3628 | (rmail-convert-mailpath, rmail-convert-file) |
3629 | (rmail-insert-rmail-file-header, rmail-decode-babyl-format) | |
3630 | (rmail-parse-file-inboxes, rmail-convert-to-babyl-format) | |
3631 | (rmail-reformat-message, rmail-clear-headers, rmail-msg-is-pruned) | |
3632 | (rmail-redecode-body) | |
3633 | (rmail-msg-restore-non-pruned-header, rmail-msg-prune-header) | |
3634 | (rmail-narrow-to-non-pruned-header, rmail-message-recipients-p) | |
3635 | (rmail-count-screen-lines, rmail-message-regexp-p) | |
53112453 | 3636 | (rmail-current-subject, rmail-current-subject-regexp): Delete functions. |
6bee39c5 CY |
3637 | (rmail-nuke-pinhead-header): Move to rmailout.el. |
3638 | ||
3639 | (rmail-ignored-headers): Add additional headers. | |
3640 | (rmail-mode-map): Update key commands. | |
3641 | (rmail-tool-bar-map): Build tool-bar unconditionally. | |
3642 | (rmail, rmail-mode, rmail-get-new-mail): Handle babyl-to-mbox | |
3643 | conversion and new buffer swapping mechanism. | |
3644 | (rmail-perm-variables): Use new vars, and remove deleted ones. | |
3645 | (rmail-revert): Use rmail-convert-file-maybe. | |
3646 | (rmail-duplicate-message): Use rmail-show-message-maybe. | |
3647 | (rmail-get-new-mail): Don't leave the buffer screwed up if we get | |
3648 | a disk-full error. | |
3649 | (rmail-parse-url): Strip embedded password. | |
3650 | (rmail-insert-inbox-text): Default to movemail if | |
3651 | rmail-movemail-program is null. Handle mbox format. | |
3652 | (rmail-decode-region): New arg. | |
3653 | (rmail-display-labels): Don't perform Babyl processing. | |
3654 | (rmail-set-attribute, rmail-message-labels-p, rmail-search) | |
3655 | (rmail-set-message-counters, rmail-count-new-messages) | |
3656 | (rmail-set-message-counters-counter, rmail-show-message) | |
3657 | (rmail-next-same-subject, rmail-reply): Use mbox format. | |
3658 | (rmail-toggle-header, rmail-show-message, rmail-only-expunge) | |
3659 | (rmail-expunge, rmail-expunge-and-save, rmail-quit): Use new | |
3660 | buffer swapping mechanism. | |
3661 | ||
fb8e4ec8 | 3662 | * mail/rmailedit.el: Require rmailsum.el. |
6bee39c5 | 3663 | (rmail-edit-mode): First check if buffer is swapped. |
53112453 | 3664 | (rmail-edit-saved-coding-system): Delete var. |
6bee39c5 CY |
3665 | (rmail-edit-current-message): Don't call deleted vars. |
3666 | (rmail-cease-edit): Handle mbox format. | |
3667 | (rmail-abort-edit): Widen buffer. | |
3668 | ||
fb8e4ec8 | 3669 | * mail/rmailkwd.el: Require rmail.el. Remove unneeded function |
6bee39c5 CY |
3670 | declarations. |
3671 | (rmail-buffer, rmail-current-message, rmail-last-label) | |
3672 | (rmail-last-multi-labels, rmail-summary-vector) | |
3673 | (rmail-total-messages, rmail-attributes, rmail-deleted-label) | |
53112453 | 3674 | (rmail-keywords): Delete vars. |
6bee39c5 CY |
3675 | (rmail-attribute-p, rmail-keyword-p, rmail-make-label) |
3676 | (rmail-force-make-label, rmail-quote-label-name, rmail-keywords) | |
53112453 | 3677 | (rmail-parse-file-keywords, rmail-install-keyword): Delete functions. |
6bee39c5 CY |
3678 | (rmail-make-label): New function. |
3679 | (rmail-read-label): Don't call rmail-parse-file-keywords. | |
53112453 | 3680 | (rmail-set-label): Rewrite using rmail-set-attribute. |
6bee39c5 CY |
3681 | (rmail-next-labeled-message): Use rmail-get-labels. |
3682 | ||
fb8e4ec8 | 3683 | * mail/rmailmm.el: New file. |
6bee39c5 | 3684 | |
fb8e4ec8 | 3685 | * mail/rmailmsc.el: Require rmail.el. |
6bee39c5 CY |
3686 | (set-rmail-inbox-list): Set rmail-inbox-list directly. |
3687 | ||
fb8e4ec8 | 3688 | * mail/rmailout.el (rmail-output-decode-coding): New var. |
6bee39c5 CY |
3689 | (rmail-output-as-babyl, rmail-output-to-r-mail-buffer) |
3690 | (rmail-convert-to-babyl-format, rmail-output-as-mbox) | |
3691 | (rmail-output-to-rmail-buffer): New functions. | |
3692 | (rmail-output-read-rmail-file-name, rmail-output-to-rmail-file): | |
53112453 SM |
3693 | Delete functions. |
3694 | (rmail-nuke-pinhead-header): Move from rmail.el. | |
3695 | (rmail-output): Rewrite to accept both mbox and babyl output. | |
3696 | (rmail-output-as-seen, rmail-output-body-to-file): Rewrite to | |
6bee39c5 CY |
3697 | use mbox internal format. |
3698 | ||
fb8e4ec8 | 3699 | * mail/rmailsort.el: Eval mail-utils when compiled. Require rmail.el. |
6bee39c5 | 3700 | (rmail-sort-by-date, rmail-sort-by-subject, rmail-sort-by-author) |
53112453 SM |
3701 | (rmail-sort-by-recipient, rmail-sort-by-correspondent): |
3702 | Use rmail-get-header. | |
3703 | (rmail-sort-messages): Use new buffer swapping mechanism. | |
3704 | Handle mbox internal format. | |
6bee39c5 | 3705 | |
fb8e4ec8 | 3706 | * mail/rmailsum.el (rmail-summary): Make sure rmail buffer is shown. |
6bee39c5 CY |
3707 | (rmail-message-recipients-p, rmail-message-recipients-p-1) |
3708 | (rmail-message-regexp-p, rmail-new-summary-1) | |
3709 | (rmail-get-create-summary-buffer, rmail-get-summary) | |
3710 | (rmail-create-summary-line, rmail-get-summary-labels) | |
3711 | (rmail-create-summary, rmail-header-summary) | |
53112453 | 3712 | (rmail-summary-output, rmail-summary-output-as-seen): New functions. |
6bee39c5 CY |
3713 | (rmail-summary-by-topic, rmail-message-senders-p) |
3714 | (rmail-header-summary, rmail-summary-next-same-subject): Use mbox | |
3715 | internal format. | |
3716 | (rmail-new-summary): Rewrite window handling. | |
3717 | (rmail-make-summary-line, rmail-make-summary-line-1) | |
3718 | (rmail-make-basic-summary-line) | |
3719 | (rmail-summary-output-to-rmail-file): Functions deleted. | |
3720 | (rmail-summary-undelete, rmail-summary-rmail-update) | |
3721 | (rmail-summary-scroll-msg-up, rmail-summary-scroll-msg-down) | |
3722 | (rmail-summary-show-message, rmail-summary-wipe) | |
53112453 SM |
3723 | (rmail-summary-toggle-header, rmail-summary-reply): |
3724 | Use rmail-buffer instead of rmail-view-buffer. | |
6bee39c5 CY |
3725 | (rmail-summary-mode-map): Rebind "o" to rmail-summary-output. |
3726 | (rmail-summary-goto-msg): Use rmail-show-message-maybe. | |
3727 | (rmail-summary-expunge-and-save): Use rmail-expunge-and-save. | |
3728 | ||
b51a3365 AR |
3729 | 2009-01-22 Adrian Robert <Adrian.B.Robert@gmail.com> |
3730 | ||
3731 | * term/ns-win.el (ns-show-manual): Fix node name. | |
b859041e | 3732 | (x-open-connection): Fix typo in declaration filename. |
b51a3365 | 3733 | |
c27428a5 RF |
3734 | 2009-01-22 Romain Francoise <romain@orebokech.com> |
3735 | ||
3736 | * Makefile.in (ELCFILES): Update. | |
3737 | ||
f79b43b2 DN |
3738 | 2009-01-22 Dan Nicolaescu <dann@ics.uci.edu> |
3739 | ||
3740 | * frame.el (make-frame-on-tty): Remove function, inline contents | |
3741 | in the only user ... | |
3742 | ||
3743 | * server.el (server-create-tty-frame): ... here. | |
3744 | ||
ac0827d7 CY |
3745 | 2009-01-22 Chong Yidong <cyd@stupidchicken.com> |
3746 | ||
b859041e JB |
3747 | * format.el (format-annotate-function): |
3748 | Set write-region-post-annotation-function. | |
ac0827d7 | 3749 | |
059075fb JW |
3750 | 2009-01-21 John Wiegley <johnw@newartisans.com> |
3751 | ||
3752 | * eshell/esh-mode.el: Add eshell-handle-ansi-color to the default | |
3753 | list of output filters. | |
b859041e JB |
3754 | (eshell-mode): Change the default binding of C-a to eshell-bol. |
3755 | I don't think it's actually bound at all by default in eshell; | |
3756 | it just falls back to the default binding of C-a. | |
059075fb | 3757 | |
f87ff539 SM |
3758 | 2009-01-21 Stefan Monnier <monnier@iro.umontreal.ca> |
3759 | ||
3760 | * minibuffer.el (completion--insert-strings): Fix up computation of | |
3761 | column position which manifested e.g. in proced's signal completion. | |
3762 | ||
3c196b45 CY |
3763 | 2009-01-21 Chong Yidong <cyd@stupidchicken.com> |
3764 | ||
3765 | * server.el (server-start): Allow server to shut down when no | |
3766 | frames are available in daemon-mode. | |
3767 | ||
24c7b460 JB |
3768 | 2009-01-21 Juanma Barranquero <lekktu@gmail.com> |
3769 | ||
3770 | * term.el (term-previous-matching-input, term-next-matching-input) | |
3771 | (term-previous-matching-input-from-input) | |
3772 | (term-next-matching-input-from-input, term-backward-matching-input) | |
3773 | (term-forward-matching-input): Change argument name to match docstring. | |
3774 | ||
2603d79b GM |
3775 | 2009-01-21 Glenn Morris <rgm@gnu.org> |
3776 | ||
3777 | * emacs-lisp/authors.el (authors-aliases): Remove some "ignore" entries | |
3778 | that are no longer needed. | |
3779 | (authors-scan-change-log): Doc fix. | |
3780 | (authors-scan-el): Restore let*, foolishly removed 2009-01-11. | |
3781 | ||
8e56b464 AM |
3782 | 2009-01-20 Agustín Martín <agustin.martin@hispalinux.es> |
3783 | ||
f87ff539 SM |
3784 | * textmodes/ispell.el (ispell-find-aspell-dictionaries): |
3785 | Use aspell default dict. | |
8e56b464 | 3786 | |
2d3e4f02 KH |
3787 | 2009-01-20 Kenichi Handa <handa@m17n.org> |
3788 | ||
3789 | * descr-text.el (describe-char): Improve description of eight-bit | |
68f040f2 | 3790 | char in a unibyte buffer. (Bug#1935) |
2d3e4f02 | 3791 | |
24e15888 GM |
3792 | 2009-01-20 Glenn Morris <rgm@gnu.org> |
3793 | ||
3794 | * emacs-lisp/authors.el (authors-aliases, authors-fixed-case): | |
3795 | Add some entries. | |
3796 | ||
4163977a CY |
3797 | 2009-01-19 Chong Yidong <cyd@stupidchicken.com> |
3798 | ||
5208f3ad | 3799 | * progmodes/ruby-mode.el: Autoload other interpreter names. |
4163977a | 3800 | |
d703f938 JB |
3801 | 2009-01-19 Juanma Barranquero <lekktu@gmail.com> |
3802 | ||
3803 | * descr-text.el (describe-char-categories): New defsubst. | |
3804 | (describe-char): Use it. | |
3805 | ||
e0b6e3b9 MA |
3806 | 2009-01-19 Michael Albinus <michael.albinus@gmx.de> |
3807 | ||
3808 | * net/tramp.el (tramp-ipv6-regexp): The regexp shall cover also | |
3809 | IPv4 mapped IPv6 addresses. | |
3810 | ||
9e3fd593 JB |
3811 | 2009-01-18 Juanma Barranquero <lekktu@gmail.com> |
3812 | ||
3813 | * textmodes/css-mode.el (css-backward-sexp): Stop once it reaches | |
3814 | the beginning of the buffer. (Bug#1949) | |
3815 | ||
0ca77a92 JB |
3816 | 2009-01-18 Markus Triska <markus.triska@gmx.at> |
3817 | ||
3818 | * linum.el (linum-update-window): Use `delq' instead of `delete'; | |
3819 | compare strings with `equal-including-properties'. | |
3820 | ||
66d279a7 GM |
3821 | 2009-01-17 Glenn Morris <rgm@gnu.org> |
3822 | ||
3823 | * progmodes/cc-langs.el (declare-function): Add compatibility stub. | |
3824 | (delete-duplicates, mapcan, cl-macroexpand-all): Declare. | |
3825 | ||
3826 | * emacs-lisp/eldoc.el (eldoc-minor-mode-string) | |
3827 | (eldoc-message-commands, eldoc-print-current-symbol-info): | |
3828 | Doc fix (consistent case of "ElDoc"). | |
3829 | ||
635618a4 RW |
3830 | 2009-01-17 Roland Winkler <Roland.Winkler@physik.uni-erlangen.de> |
3831 | ||
f87ff539 | 3832 | * textmodes/bibtex.el (bibtex-format-entry): Simplify previous change. |
635618a4 | 3833 | |
fc47d8a7 EZ |
3834 | 2009-01-17 Eli Zaretskii <eliz@gnu.org> |
3835 | ||
5a4122e2 EZ |
3836 | * dos-w32.el (find-buffer-file-type-coding-system): If `(car |
3837 | TARGET)' does not exist, try again with its basename replaced by | |
68f040f2 | 3838 | `(cdr TARGET)'. (Related to Bug #1853.) |
5a4122e2 | 3839 | |
fc47d8a7 EZ |
3840 | * international/mule-conf.el (raw-text, eight-bit): Doc fixes. |
3841 | ||
511744bd | 3842 | 2009-01-16 Agustín Martín <agustin.martin@hispalinux.es> |
ca0ebecc | 3843 | |
511744bd | 3844 | * textmodes/flyspell.el (flyspell-post-command-hook): Do nothing |
ca0ebecc AM |
3845 | unless flyspell-mode is enabled. |
3846 | (flyspell-pre-point): Make buffer-local. | |
3847 | ||
a51cfa58 RW |
3848 | 2009-01-16 Roland Winkler <Roland.Winkler@physik.uni-erlangen.de> |
3849 | ||
3850 | * textmodes/bibtex.el (bibtex-format-entry): For updating field | |
3851 | delimiters, allow fields that are composed of strings and string | |
3852 | constants. | |
3853 | (bibtex-mode): Doc fix. | |
3854 | ||
511744bd | 3855 | 2009-01-16 Agustín Martín <agustin.martin@hispalinux.es> |
034dc2a3 AM |
3856 | |
3857 | * textmodes/ispell.el: Protect against declare-function undefined | |
511744bd | 3858 | in XEmacs. |
034dc2a3 | 3859 | (ispell-program-name): Search also for hunspell when setting default. |
511744bd JB |
3860 | (ispell-find-aspell-dictionaries): Better error message. Use correct |
3861 | dictionary alist for default. Better fallback default dictionary. | |
034dc2a3 | 3862 | |
76472dc2 KH |
3863 | 2009-01-16 Ulrich Mueller <ulm@kph.uni-mainz.de> |
3864 | ||
f87ff539 SM |
3865 | * international/quail.el (quail-insert-kbd-layout): |
3866 | Delete superfluous handling of 8-bit code. (Bug#1418) | |
76472dc2 | 3867 | |
133ea5b2 GM |
3868 | 2009-01-16 Glenn Morris <rgm@gnu.org> |
3869 | ||
3870 | * emacs-lisp/eldoc.el: Remove leading `*' from defcustoms. | |
3871 | (eldoc-argument-case, eldoc-echo-area-use-multiline-p) | |
3872 | (eldoc-highlight-function-argument): Doc fix - mention effect of | |
3873 | eldoc-documentation-function. | |
3874 | (eldoc-message-commands-table-size, eldoc-message-commands) | |
3875 | (eldoc-current-idle-delay, eldoc-get-fnsym-args-string): Doc fix. | |
3876 | (eldoc-documentation-function): Mention effect on user options. | |
3877 | ||
3878 | * emacs-lisp/copyright.el (copyright-update): Doc fix. | |
3879 | ||
b6388cc9 SM |
3880 | 2009-01-16 Kevin Ryde <user42@zip.com.au> |
3881 | ||
3882 | * tar-mode.el (tar-rename-entry): Only test the magic string, without | |
3883 | its version number, and then set both. | |
3884 | ||
38cb7a93 RS |
3885 | 2009-01-16 Richard M Stallman <rms@gnu.org> |
3886 | ||
3887 | * textmodes/paragraphs.el (sentence-end): Accept non-break space. | |
3888 | ||
fa9d0f38 DN |
3889 | 2009-01-15 Dan Nicolaescu <dann@ics.uci.edu> |
3890 | ||
3891 | * buff-menu.el (Buffer-menu-mode): Derive from special-mode. | |
3892 | Refer to revert-buffer, not Buffer-menu-revert. | |
52a0198d | 3893 | (Buffer-menu-sort): Use revert-buffer, not Buffer-menu-revert. |
fa9d0f38 DN |
3894 | (Buffer-menu-revert): Remove function, unused. |
3895 | (Buffer-menu-mode-map): Don't bind keys already | |
3896 | bound by special-mode. | |
6a6baf11 | 3897 | (Buffer-menu-mode-map): Add a menu. |
fa9d0f38 | 3898 | |
71f982e3 SE |
3899 | 2009-01-15 Stephen Eglen <stephen@gnu.org> |
3900 | ||
3901 | * emacs-lisp/lisp-mode.el (lisp-mode-variables): Doc fix. | |
3902 | ||
3151c2ff DN |
3903 | 2009-01-15 Dan Nicolaescu <dann@ics.uci.edu> |
3904 | ||
3905 | * vc-hg.el (vc-hg-diff): Pass relative file names. (Bug#1903) | |
3906 | ||
98722073 MR |
3907 | 2009-01-15 Martin Rudalics <rudalics@gmx.at> |
3908 | ||
3909 | * window.el (special-display-p): Revert 2009-01-14 change. | |
3910 | ||
6b5e47dd GM |
3911 | 2009-01-15 Glenn Morris <rgm@gnu.org> |
3912 | ||
3913 | * emacs-lisp/authors.el (authors-aliases, authors-fixed-case): | |
3914 | (authors-obsolete-files-regexps, authors-ignored-files): | |
3915 | (authors-renamed-files-alist): Add some entries. | |
3916 | (authors-fixed-entries): Change some entries. | |
3917 | (authors-canonical-file-name): Doc fix. | |
3918 | (authors-scan-change-log): Handle joint authorship in ChangeLogs. | |
3919 | ||
58cb49d4 JL |
3920 | 2009-01-14 Juri Linkov <juri@jurta.org> |
3921 | ||
3922 | * info.el (Info-isearch-filter): Don't filter out invisible text | |
3923 | when search-invisible is t. (Bug#1848) | |
3924 | ||
3925 | * simple.el (blink-matching-open): Use `minibuffer-message' to | |
3926 | display messages in the minibuffer. | |
3927 | ||
3928 | * help.el (view-help-file): | |
3929 | * menu-bar.el (menu-bar-help-extra-packages): | |
3930 | Use goto-address-mode instead of goto-address. | |
3931 | ||
3932 | * net/goto-addr.el (goto-address-url-face): Change default value | |
3933 | from `bold' to `link'. | |
3934 | ||
ecbe9da5 JB |
3935 | 2009-01-14 Juanma Barranquero <lekktu@gmail.com> |
3936 | ||
3937 | * international/mule-diag.el (print-list): Fix 2008-12-03 change. | |
3938 | ||
56f31926 MR |
3939 | 2009-01-14 Martin Rudalics <rudalics@gmx.at> |
3940 | ||
60898913 MR |
3941 | * frame.el (special-display-popup-frame): Rewrite doc-string and |
3942 | simplify code. | |
3943 | ||
56f31926 MR |
3944 | * window.el (special-display-buffer-names) |
3945 | (special-display-regexps): Add customization support for | |
3946 | function/other-args elements. Rewrite doc-strings. | |
60898913 | 3947 | (special-display-function): Rewrite doc-string. |
f87ff539 SM |
3948 | (same-window-buffer-names, same-window-regexps): |
3949 | Minor doc-string fixes. | |
56f31926 MR |
3950 | (special-display-p): Minor rewrite. |
3951 | ||
38934f76 GM |
3952 | 2009-01-14 Glenn Morris <rgm@gnu.org> |
3953 | ||
3954 | * textmodes/bibtex.el (bibtex-copy-field-as-kill): Doc fix. | |
3955 | ||
9f3618b5 JB |
3956 | 2009-01-14 Juanma Barranquero <lekktu@gmail.com> |
3957 | ||
3958 | * minibuffer.el (minibuffer-message): Fix regexp so it doesn't exclude | |
3959 | MESSAGEs with internal square brackets (i.e., " this [test] message"). | |
3960 | Use `string-match-p'. | |
3961 | (completion--make-envvar-table, completion--file-name-table) | |
3962 | (completion-pcm--string->pattern, completion-pcm--all-completions) | |
3963 | (completion-pcm--filename-try-filter): Use `string-match-p'. | |
3964 | ||
e5524cba JL |
3965 | 2009-01-14 Juri Linkov <juri@jurta.org> |
3966 | ||
3967 | * progmodes/bug-reference.el (bug-reference-fontify): Check for | |
3968 | non-nil `bug-reference-url-format' before putting an overlay | |
3969 | property `bug-reference-url'. | |
3970 | (bug-reference-mode, bug-reference-prog-mode): Don't check for | |
3971 | `bug-reference-url-format'. Doc fix. (Bug#1744) | |
3972 | ||
8e25a295 CY |
3973 | 2009-01-13 Chong Yidong <cyd@stupidchicken.com> |
3974 | ||
3975 | * menu-bar.el (menu-bar-file-menu): Do not hardbind key display | |
3976 | for exit-emacs item. Bind it to save-buffers-kill-terminal. | |
3977 | ||
3978 | * diff-mode.el (diff-mode-menu): Do not assume whitespace-mode is | |
3979 | loaded. | |
3980 | ||
0db2e6af JR |
3981 | 2009-01-13 Jason Rumney <jasonr@gnu.org> |
3982 | ||
9f3618b5 | 3983 | * faces.el (face-valid-attribute-values): No stipple on w32. (Bug#884) |
0db2e6af | 3984 | |
d7f90d6c JB |
3985 | 2009-01-12 Juanma Barranquero <lekktu@gmail.com> |
3986 | ||
3987 | * subr.el (play-sound): Doc fix. (Bug#250) | |
3988 | (shell-quote-argument, field-at-pos): Fix typos in docstrings. | |
3989 | ||
d7158f27 SM |
3990 | 2009-01-12 Alan Mackenzie <acm@muc.de> |
3991 | ||
3992 | * textmodes/paragraphs.el (forward-sentence): Change limit of | |
3993 | re-search-backward to allow values of `sentence-end' anchored at BOL. | |
3994 | ||
64ac1266 SM |
3995 | 2009-01-12 Stefan Monnier <monnier@iro.umontreal.ca> |
3996 | ||
3997 | * tar-mode.el (tar-header-block-tokenize): Properly ignore the version | |
3998 | subfield of the magic string. | |
3999 | ||
91ca6606 JB |
4000 | 2009-01-11 Jay Belanger <jay.p.belanger@gmail.com> |
4001 | ||
4002 | * calc/calc-lang.el (math-compose-tex-sqrt): New function. | |
4003 | (math-special-function-table): Use `math-compose-tex-sqrt' to | |
4004 | compose sqrts in TeX and LaTeX mode. | |
4005 | ||
1b4d6ee6 CY |
4006 | 2009-01-11 Chong Yidong <cyd@stupidchicken.com> |
4007 | ||
4a6f62a1 CY |
4008 | * faces.el (describe-face): Ignore anonymous faces. |
4009 | ||
1b4d6ee6 CY |
4010 | * server.el (server-save-buffers-kill-terminal): Args changed. |
4011 | Handle nowait client frames. | |
4012 | ||
4013 | * files.el (save-buffers-kill-terminal): Args for | |
4014 | server-save-buffers-kill-terminal changed. | |
4015 | ||
6fcec85e JB |
4016 | 2009-01-11 Juanma Barranquero <lekktu@gmail.com> |
4017 | ||
4018 | * international/fontset.el (create-fontset-from-fontset-spec): Doc fix. | |
4019 | ||
93da04c0 GM |
4020 | 2009-01-11 Glenn Morris <rgm@gnu.org> |
4021 | ||
e8f642e7 GM |
4022 | * diff-mode.el (whitespace-style, whitespace-trailing-regexp): |
4023 | Silence compiler. | |
4024 | ||
93da04c0 GM |
4025 | * emacs-lisp/authors.el (authors-aliases): Add, remove, and adjust |
4026 | some entries. | |
4027 | (authors-fixed-case, authors-ignored-files, authors-valid-file-names): | |
4028 | (authors-renamed-files-alist): Add entries. | |
4029 | (authors-fixed-entries): Update for renamed and removed files. | |
4030 | (authors-canonical-file-name): Add entries to | |
4031 | authors-checked-files-alist based on their full names, expanded relative | |
4032 | to each log-file directory. | |
4033 | (authors-scan-el, authors-add-to-author-list, authors): | |
4034 | Handle multiple authors in a file, via a new :cowrote category. | |
4035 | ||
fe177a62 GM |
4036 | * emacs-lisp/copyright.el (copyright-update): Add doc-string. |
4037 | ||
11ad1e42 RS |
4038 | 2009-01-10 Richard M Stallman <rms@gnu.org> |
4039 | ||
4040 | * mail/mail-utils.el (mail-quote-printable-region): New function. | |
4041 | ||
3309a9ee GM |
4042 | 2009-01-10 Glenn Morris <rgm@gnu.org> |
4043 | ||
4044 | * calendar/cal-move.el (calendar-scroll-left-three-months) | |
4045 | (calendar-scroll-right-three-months): | |
4046 | * calendar/holidays.el (calendar-list-holidays) | |
4047 | (calendar-mark-holidays): | |
4048 | * calendar/lunar.el (calendar-lunar-phases): | |
4049 | Add event handling, for when called from menus with the calendar buffer | |
4050 | not current. | |
4051 | ||
ac7020b3 DN |
4052 | 2009-01-10 Dan Nicolaescu <dann@ics.uci.edu> |
4053 | ||
4054 | * diff-mode.el (diff-show-trailing-whitespaces): Remove function. | |
4055 | Move setting up whitepace-mode ... | |
4056 | (diff-mode): ... here. | |
4057 | (diff-mode-menu): Add a menu entry for showing trailing whitespace. | |
4058 | ||
a933c4f7 CY |
4059 | 2009-01-10 Chong Yidong <cyd@stupidchicken.com> |
4060 | ||
4061 | * faces.el (x-font-family-list): Remove entirely. | |
4062 | ||
8b5e8b42 EZ |
4063 | 2009-01-10 Eli Zaretskii <eliz@gnu.org> |
4064 | ||
4065 | Fix Bug #876: | |
4066 | ||
f87ff539 SM |
4067 | * info.el (info-insert-file-contents, Info-insert-dir): |
4068 | Bind inhibit-null-byte-detection to non-nil. | |
8b5e8b42 | 4069 | |
fca863b8 MR |
4070 | 2009-01-10 Martin Rudalics <rudalics@gmx.at> |
4071 | ||
4072 | * tool-bar.el (tool-bar-mode): Modify all frame parameters when | |
4073 | toggling tool bars on. (Bug#1754) | |
4074 | ||
45c17811 CY |
4075 | 2009-01-09 Chong Yidong <cyd@stupidchicken.com> |
4076 | ||
4077 | * faces.el (face-valid-attribute-values): Use string as value for | |
f87ff539 | 4078 | :family attribute. Now, font-family-list returns a list of strings. |
45c17811 CY |
4079 | (x-font-family-list): Obsolete compatibility version of function |
4080 | from xfaces.c. | |
4081 | ||
dcb50c02 MR |
4082 | 2009-01-09 Martin Rudalics <rudalics@gmx.at> |
4083 | ||
4084 | * window.el (special-display-buffer-names) | |
f87ff539 SM |
4085 | (special-display-regexps, special-display-function): |
4086 | In doc-strings say "same-window" instead of "same-buffer". | |
dcb50c02 | 4087 | |
c28f19e5 MA |
4088 | 2009-01-09 Michael Albinus <michael.albinus@gmx.de> |
4089 | ||
4090 | * net/tramp.el: Revert previous patch. `last-command-char' is | |
4091 | used in code intended only for XEmacs, where it is different from | |
4092 | `last-command-event'. | |
4093 | ||
d293848d GM |
4094 | 2009-01-09 Glenn Morris <rgm@gnu.org> |
4095 | ||
4096 | * subr.el (last-input-char, last-command-char): | |
4097 | Move here from src/keyboard.c. | |
4098 | ||
8989a920 GM |
4099 | * allout.el, autoarg.el, complete.el, ediff-mult.el, ediff-util.el: |
4100 | * electric.el, hexl.el, isearch.el, isearchb.el, kmacro.el: | |
4101 | * minibuffer.el, repeat.el, simple.el, skeleton.el, terminal.el, tmm.el: | |
4102 | * wdired.el: | |
e93c003e GM |
4103 | * calc/calc-aent.el, calc/calc-ext.el, calc/calc-incom.el: |
4104 | * calc/calc-keypd.el, calc/calc-misc.el, calc/calc-prog.el: | |
4105 | * calc/calc-sel.el, calc/calc-store.el, calc/calc-stuff.el: | |
4106 | * calc/calc-units.el, calc/calc-yank.el, calc/calc.el: | |
61a846fb | 4107 | * emacs-lisp/lisp.el, emacs-lisp/re-builder.el: |
a4d9b7bc | 4108 | * emulation/vi.el, emulation/vip.el, emulation/viper-cmd.el: |
1ba983e8 | 4109 | * eshell/em-smart.el, eshell/esh-mode.el: |
61a846fb | 4110 | * international/mule-cmds.el: |
a4d9b7bc | 4111 | * mail/mailabbrev.el: |
61a846fb | 4112 | * net/tramp.el: |
1ba983e8 GM |
4113 | * play/mpuz.el: |
4114 | * progmodes/ada-mode.el, progmodes/antlr-mode.el, progmodes/cc-align.el: | |
4115 | * progmodes/cc-cmds.el, progmodes/cperl-mode.el, progmodes/f90.el: | |
4116 | * progmodes/fortran.el, progmodes/icon.el, progmodes/idlwave.el: | |
4117 | * progmodes/octave-mod.el, progmodes/pascal.el, progmodes/perl-mode.el: | |
4118 | * progmodes/prolog.el, progmodes/sh-script.el, progmodes/simula.el: | |
4119 | * progmodes/tcl.el, progmodes/vera-mode.el, progmodes/verilog-mode.el: | |
4120 | * progmodes/vhdl-mode.el, progmodes/xscheme.el: | |
4121 | * textmodes/sgml-mode.el, textmodes/table.el, textmodes/two-column.el: | |
e93c003e GM |
4122 | Replace last-command-char with last-command-event. |
4123 | ||
1e4bd40d GM |
4124 | * double.el, electric.el, replace.el, term.el, terminal.el: |
4125 | * calc/calc.el: | |
4126 | * emulation/viper-cmd.el: | |
4127 | * international/mule-cmds.el: | |
4128 | * obsolete/iso-acc.el: | |
4129 | * progmodes/vhdl-mode.el: Replace last-input-char with last-input-event. | |
4130 | ||
dd513189 GM |
4131 | * emacs-lisp/edebug.el: Remove references to last-command-char and |
4132 | last-input-char, since these have been identical to last-command-event | |
4133 | and last-input-event since at least Emacs 19. | |
4134 | (edebug-outside-last-command-char, edebug-outside-last-input-char): | |
4135 | Remove. | |
4136 | ||
e3e955fe MB |
4137 | 2009-01-09 Dave Love <fx@gnu.org> |
4138 | ||
4139 | * calendar/time-date.el: Require cl for `declare'. | |
4140 | ||
4141 | 2009-01-09 Reiner Steib <Reiner.Steib@gmx.de> | |
4142 | ||
4143 | * calendar/time-date.el (format-seconds): Explain `assoc-string'. | |
4144 | Suggested by Dave Love. | |
4145 | ||
4146 | 2009-01-09 Dave Love <fx@gnu.org> | |
4147 | ||
4148 | * net/imap.el (imap-string-to-integer): Fix typo. | |
4149 | (imap-fetch-safe): New function. | |
4150 | (imap-message-copyuid-1, imap-message-appenduid-1): Use it. | |
4151 | ||
4152 | * net/imap.el (imap-process-connection-type, imap-debug, imap-open): | |
4153 | (imap-parse-greeting): Fix doc strings. | |
4154 | (imap-tls-open, imap-search, imap-message-appenduid-1): Add FIXMEs. | |
4155 | (imap-parse-flag-list): Make messages unique. | |
4156 | (imap-parse-body): Fix comments. Add comment on Exchange 2007. | |
4157 | ||
4158 | * net/imap.el (imap-message-appenduid-1): Fix typo in imap-fetch-safe | |
4159 | call. | |
4160 | ||
4161 | * net/imap.el: Fix author email. Doc fixes. | |
4162 | (imap-parse-body): Work around assertion failure in bogus Exchange 2007 | |
4163 | reply. | |
4164 | ||
4165 | 2009-01-09 Reiner Steib <Reiner.Steib@gmx.de> | |
4166 | ||
4167 | * net/dns.el (dns-set-servers): Check "Address". Fix typo. | |
4168 | ||
4169 | 2009-01-09 Reiner Steib <Reiner.Steib@gmx.de> | |
4170 | ||
67a7fe65 | 4171 | * net/dns.el (dns-set-servers): Rename from dns-parse-resolv-conf. |
e3e955fe MB |
4172 | Call nslookup if resolv.conf isn't available. |
4173 | (dns-query): Rename from query-dns. | |
4174 | (dns-query-cached): Rename from query-dns-cached. | |
4175 | ||
4176 | 2009-01-09 Reiner Steib <Reiner.Steib@gmx.de> | |
4177 | ||
f87ff539 SM |
4178 | * net/imap.el (imap-enable-exchange-bug-workaround): |
4179 | Explain auto-detection in the doc string. | |
e3e955fe | 4180 | |
2188975f JB |
4181 | 2009-01-09 Juanma Barranquero <lekktu@gmail.com> |
4182 | ||
4183 | * textmodes/ispell.el (ispell-check-minver, ispell-last-program-name) | |
4184 | (ispell-html-skip-alists, ispell-send-replacement, ispell-show-choices) | |
4185 | (ispell-begin-skip-region-regexp, ispell-skip-region-list) | |
4186 | (ispell-ignore-fcc, ispell-skip-region, ispell-process-line) | |
4187 | (ispell-horiz-scroll, ispell-mime-skip-part, ispell-buffer-local-words): | |
4188 | Fix typos in docstrings. | |
4189 | (ispell-command-loop): Reflow docstring. | |
4190 | ||
57bf8fd4 AM |
4191 | 2009-01-08 Agustín Martín <agustin.martin@hispalinux.es> |
4192 | ||
67a7fe65 | 4193 | * textmodes/ispell.el (ispell-encoding8-command): New variable. |
57bf8fd4 | 4194 | (ispell-aspell-supports-utf8): Mark as obsoleted by |
67a7fe65 JB |
4195 | ispell-encoding8-command. |
4196 | (ispell-check-version): Set ispell-encoding8-command. | |
4197 | (ispell-check-spellchecker-params, ispell-start-process): | |
4198 | Use ispell-encoding8-command. | |
57bf8fd4 | 4199 | |
67a7fe65 JB |
4200 | * textmodes/flyspell.el (flyspell-large-region): |
4201 | Use ispell-encoding8-command. | |
57bf8fd4 | 4202 | |
912b78dd GM |
4203 | 2009-01-08 Glenn Morris <rgm@gnu.org> |
4204 | ||
4205 | * calendar/cal-menu.el (cal-menu-scroll-menu): Remove entries that don't | |
4206 | scroll the calendar. | |
4207 | ||
4208 | * international/mule-cmds.el (universal-coding-system-argument): | |
4209 | last-input-char need not be a char. | |
4210 | ||
4211 | * textmodes/ispell.el (ispell-check-minver): Declare. | |
4212 | Use string-to-number rather than string-to-int. | |
4213 | ||
ed039e6c JB |
4214 | 2009-01-07 Juanma Barranquero <lekktu@gmail.com> |
4215 | ||
eb9c16e5 JB |
4216 | * add-log.el (change-log-search-tag-name): Reflow docstring. |
4217 | (change-log-mode): Fix typo in docstring. | |
4218 | ||
ed039e6c JB |
4219 | * international/mule.el (define-coding-system): Doc fix. |
4220 | ||
e4821482 KH |
4221 | 2009-01-07 Kenichi Handa <handa@m17n.org> |
4222 | ||
4223 | * international/mule.el (define-coding-system): Fix docstring | |
4224 | about :bom usage. | |
4225 | ||
7f0995a1 MR |
4226 | 2009-01-07 Martin Rudalics <rudalics@gmx.at> |
4227 | ||
4228 | * dired.el (dired-pop-to-buffer): Don't split windows | |
4229 | horizontally. (Bug#1806) | |
4230 | ||
3006c8dc SM |
4231 | 2009-01-07 Stefan Monnier <monnier@iro.umontreal.ca> |
4232 | ||
4233 | * tar-mode.el (tar-mode, tar-mode-write-contents) | |
4234 | (tar-write-region-annotate): Undo Andreas's last change. | |
4235 | ||
2c418c5b MA |
4236 | 2009-01-06 Michael Albinus <michael.albinus@gmx.de> |
4237 | ||
4238 | * net/tramp.el (tramp-do-copy-or-rename-file-directly) | |
4239 | (tramp-handle-file-local-copy, tramp-handle-write-region) | |
4240 | * net/tramp-smb.el (tramp-smb-handle-copy-file) | |
6c791387 | 4241 | (tramp-smb-handle-rename-file): Delete temporary file in case of quit. |
2c418c5b | 4242 | |
1bba1cfc SM |
4243 | 2009-01-06 Stefan Monnier <monnier@iro.umontreal.ca> |
4244 | ||
4245 | * minibuffer.el (completion-hilit-commonality): Don't presume | |
4246 | all-completions always include the input as prefix. | |
4247 | (completion-pcm--pattern-trivial-p): Accept a few more patterns | |
4248 | as trivial. | |
4249 | (completion-pcm--hilit-commonality): Remove leftover code that used to | |
4250 | deal with the now removed cdr-in-last-cons. | |
4251 | ||
3dabda23 JB |
4252 | 2009-01-06 Juanma Barranquero <lekktu@gmail.com> |
4253 | ||
4254 | * international/mule.el (define-coding-system): Fix typos in docstring. | |
4255 | ||
ac4e7a30 AM |
4256 | 2009-01-05 Alan Mackenzie <acm@muc.de> |
4257 | ||
1bba1cfc SM |
4258 | * progmodes/cc-styles.el (c-setup-paragraph-variables): |
4259 | Ensure paragraph-\(start\|separate\) match blank lines. For AWK Mode. | |
ac4e7a30 | 4260 | |
91192f4f MA |
4261 | 2009-01-05 Michael Albinus <michael.albinus@gmx.de> |
4262 | ||
4263 | * net/tramp.el (tramp-handle-load) | |
4264 | (tramp-do-copy-or-rename-file-directly) | |
4265 | (tramp-handle-file-local-copy, tramp-handle-insert-file-contents) | |
4266 | (tramp-handle-write-region) | |
4267 | * net/tramp-smb.el (tramp-smb-handle-copy-file) | |
4268 | (tramp-smb-handle-rename-file, tramp-smb-handle-write-region): | |
4269 | Delete temporary file in case of error. | |
4270 | ||
6c791387 | 4271 | 2009-01-05 Agustín Martín <agustin.martin@hispalinux.es> |
0764ed37 | 4272 | |
6c791387 | 4273 | * textmodes/ispell.el (ispell-check-version): Use with-temp-buffer. |
0764ed37 | 4274 | |
85fed0a4 RS |
4275 | 2009-01-05 Richard M Stallman <rms@gnu.org> |
4276 | ||
0764ed37 | 4277 | * mail/sendmail.el (mail-bury): Treat pmail-mode like rmail-mode. |
85fed0a4 | 4278 | |
29c45500 MR |
4279 | 2009-01-05 Martin Rudalics <rudalics@gmx.at> |
4280 | ||
4281 | * window.el (display-buffer): Fix last fix. | |
4282 | ||
a609d13b JB |
4283 | 2009-01-05 Juanma Barranquero <lekktu@gmail.com> |
4284 | ||
4285 | * desktop.el (desktop-save-in-desktop-dir): Use `abbreviate-file-name' | |
4286 | to shorten the desktop file directory shown in message. | |
4287 | ||
061a7c0e GM |
4288 | 2009-01-05 Glenn Morris <rgm@gnu.org> |
4289 | ||
46932a8d GM |
4290 | * version.el (emacs-copyright): |
4291 | * net/tramp.el (tramp-perl-encode, tramp-perl-decode): | |
4292 | Update copyrights for 2009. | |
061a7c0e | 4293 | |
a97af989 JB |
4294 | 2009-01-04 Stephen Leake <stephen_leake@member.fsf.org> |
4295 | ||
4296 | * progmodes/ada-mode.el (ada-mode): Simplify doc string. | |
4297 | (ada-get-indent-paramlist): Use ada-broken-indent instead of | |
4298 | hard-coded 0. | |
4299 | (ada-get-indent-loop): Fix list access bug. | |
4300 | ||
a3c40f60 JB |
4301 | 2009-01-04 Juanma Barranquero <lekktu@gmail.com> |
4302 | ||
4303 | * emacs-lisp/lisp-mode.el (emacs-lisp-mode, lisp-mode) | |
4304 | (lisp-interaction-mode): Add a blank line in the docstring | |
4305 | before the keymap listing. | |
4306 | ||
e331bbf3 MR |
4307 | 2009-01-04 Martin Rudalics <rudalics@gmx.at> |
4308 | ||
4309 | * window.el (display-buffer): When a buffer is displayed in the | |
4310 | selected and some other window, and not-this-window is non-nil, | |
4311 | try to return that other window instead of popping up a new | |
4312 | frame. (Bug#30) | |
4313 | ||
5d0fba46 CY |
4314 | 2009-01-04 Chong Yidong <cyd@stupidchicken.com> |
4315 | ||
4316 | * simple.el (visual-line-mode-map): Remove M-[ and M-] bindings. | |
4317 | ||
b796bfb4 CY |
4318 | 2009-01-04 Ulrich Mueller <ulm@kph.uni-mainz.de> |
4319 | ||
4320 | * progmodes/sh-script.el (sh-ancestor-alist): Doc fix. | |
4321 | ||
35203a24 MO |
4322 | 2009-01-03 Michael Olson <mwolson@gnu.org> |
4323 | ||
4324 | * net/imap.el (imap-parse-fetch): Courier can insert spurious | |
4325 | blank characters which will confuse `read', so skip past them. | |
4326 | ||
4182531c RS |
4327 | 2009-01-03 Reiner Steib <Reiner.Steib@gmx.de> |
4328 | ||
be3f421b RS |
4329 | * emacs-lisp/authors.el (authors-aliases): Addition. |
4330 | (authors): Allow to use it for other projects. | |
4331 | ||
4182531c RS |
4332 | * emacs-lisp/copyright.el (copyright-update-directory): Autoload. |
4333 | Clarify MATCH argument. Print filenames. | |
4334 | ||
36a3859f MA |
4335 | 2009-01-03 Michael Albinus <michael.albinus@gmx.de> |
4336 | ||
4337 | * net/dbus.el (dbus-string-to-byte-array): Handle empty string. | |
4338 | ||
4339 | * net/tramp.el (tramp-local-host-regexp) | |
4340 | (tramp-prefix-domain-format) | |
4341 | (tramp-prefix-domain-regexp, tramp-domain-regexp) | |
4342 | (tramp-user-with-domain-regexp, tramp-prefix-ipv6-format) | |
4343 | (tramp-prefix-ipv6-regexp, tramp-ipv6-regexp) | |
1bba1cfc | 4344 | (tramp-postfix-ipv6-format, tramp-postfix-ipv6-regexp): New defconst. |
36a3859f MA |
4345 | (tramp-file-name-structure, tramp-file-name-regexp-unified) |
4346 | (tramp-completion-dissect-file-name, tramp-parse-hosts-group) | |
4347 | (tramp-dissect-file-name, tramp-make-tramp-file-name) | |
4348 | (tramp-completion-make-tramp-file-name): Handle IPv6 addresses. | |
4349 | (tramp-handle-insert-file-contents): Fix setting of | |
4350 | `buffer-read-only'. | |
1bba1cfc SM |
4351 | (tramp-compute-multi-hops, tramp-local-host-p): |
4352 | Use `tramp-local-host-regexp'. | |
4353 | (tramp-file-name-real-user, tramp-file-name-domain): | |
4354 | Use `tramp-user-with-domain-regexp'. | |
36a3859f MA |
4355 | |
4356 | * net/tramp-smb.el (top): Use `tramp-prefix-domain-regexp'. | |
1bba1cfc | 4357 | (tramp-smb-maybe-open-connection): Use `tramp-file-name-*' methods. |
36a3859f | 4358 | |
3ac09bb4 RW |
4359 | 2009-01-03 Roland Winkler <Roland.Winkler@physik.uni-erlangen.de> |
4360 | ||
4361 | * proced.el (proced-grammar-alist): Refiner can be a | |
4362 | list (function help-echo) instead of a cons pair. | |
4363 | (proced-post-display-hook): New variable. | |
1bba1cfc | 4364 | (proced-tree-depth): Rename from proced-tree-indent. |
3ac09bb4 | 4365 | (proced-mode): Derive mode from special-mode. |
1bba1cfc | 4366 | (proced-mode-map): Change accordingly. |
3ac09bb4 | 4367 | (proced, proced-update): Run proced-post-display-hook. |
1bba1cfc | 4368 | (proced-do-mark-all): Count processes for which mark has been updated. |
3ac09bb4 RW |
4369 | (proced-format): Check for ppid attribute. |
4370 | (proced-process-attributes): Take time and ctime attribute from | |
4371 | system-process-attributes. | |
4372 | (proced-send-signal): Doc fix. Collect properly the info on | |
4373 | marked processes. Use fit-window-to-buffer instead of | |
4374 | dired-pop-to-buffer. | |
4375 | ||
958a2b4c SM |
4376 | 2009-01-03 Stefan Monnier <monnier@iro.umontreal.ca> |
4377 | ||
4378 | * progmodes/vhdl-mode.el (vhdl-current-line): Don't hardcode | |
4379 | point-min==1. | |
4380 | ||
44d15ae0 JB |
4381 | 2009-01-02 Juanma Barranquero <lekktu@gmail.com> |
4382 | ||
4383 | * bindings.el (mode-line-eol-desc): Fix typo in help message. | |
4384 | (mode-line-client): Fix typo in help message and docstring. | |
4385 | ||
3d691666 JR |
4386 | 2009-01-02 Jason Rumney <jasonr@gnu.org> |
4387 | ||
4388 | * term/w32-win.el (image-library-alist): Add gobject library. | |
4389 | ||
dca6c418 MR |
4390 | 2009-01-02 Martin Rudalics <rudalics@gmx.at> |
4391 | ||
4392 | * frame.el (window-system-default-frame-alist) | |
4393 | (initial-frame-alist, minibuffer-frame-alist) | |
4394 | (pop-up-frame-alist, pop-up-frame-function) | |
4395 | (special-display-frame-alist): Rewrite and reformat doc-strings. | |
4396 | ||
263bc3fa MR |
4397 | 2009-01-01 Martin Rudalics <rudalics@gmx.at> |
4398 | ||
958a2b4c SM |
4399 | * simple.el (minibuffer-default-add-shell-commands): |
4400 | Fix doc-string typo. | |
263bc3fa | 4401 | |
337c7b82 CY |
4402 | 2009-01-01 Chong Yidong <cyd@stupidchicken.com> |
4403 | ||
6768ca67 CY |
4404 | * language/cham.el: Fix setting of composition-function-table. |
4405 | ||
4406 | * language/khmer.el: Fix setting of composition-function-table. | |
4407 | ||
958a2b4c SM |
4408 | * language/tibet-util.el (tibetan-composition-function): |
4409 | Remove unused function, obsoleted by 2008-09-05 change to tibetan.el. | |
6768ca67 | 4410 | |
257c9b65 CY |
4411 | * simple.el (minibuffer-default): Add defvar. |
4412 | ||
337c7b82 CY |
4413 | * hippie-exp.el: Require comint. |
4414 | ||
4dc1abeb MR |
4415 | 2008-12-31 Martin Rudalics <rudalics@gmx.at> |
4416 | ||
4417 | * simple.el (last-buffer): Don't set major mode of *scratch* if | |
4418 | that buffer exists already. Rewrite doc-string. | |
4419 | ||
d00da9d7 AS |
4420 | 2008-12-31 Andreas Schwab <schwab@suse.de> |
4421 | ||
4422 | * tar-mode.el (tar-mode): Set write-contents-functions instead of | |
4423 | write-region-annotate-functions. | |
4424 | (tar-mode-write-contents): New function. | |
4425 | (tar-write-region-annotate): Remove. | |
4426 | ||
8f489707 CY |
4427 | 2008-12-31 Chong Yidong <cyd@stupidchicken.com> |
4428 | ||
4429 | * files.el (basic-save-buffer): Revert 2008-12-22 change. | |
4430 | (buffer-swapped-with): Var removed. | |
4431 | ||
ee946858 KH |
4432 | 2008-12-30 Kenichi Handa <handa@m17n.org> |
4433 | ||
958a2b4c SM |
4434 | * language/japanese.el (compose-gstring-for-variation-glyph): |
4435 | New function. Register it in composition-function-table. | |
ee946858 KH |
4436 | |
4437 | * composite.el (lglyph-set-code): New function. | |
4438 | ||
19eb68d0 SM |
4439 | 2008-12-30 Stefan Monnier <monnier@iro.umontreal.ca> |
4440 | ||
4441 | * tar-mode.el (tar-data-swapped): New var. | |
4442 | (tar-data-swapped-p, tar-swap-data): | |
4443 | Use it instead of buffer-swapped-with. | |
4444 | (tar-write-region-annotate): Re-add (partial undo of last change). | |
4445 | (tar-mode): Use it again (partial undo as well). | |
4446 | ||
b0f0f3eb CY |
4447 | 2008-12-30 Ed Swarthout <Ed.Swarthout@hwdebug.com> (tiny change) |
4448 | ||
4449 | * textmodes/table.el (table-capture): Fix symbol quoting typo. | |
4450 | ||
2260cb2c CY |
4451 | 2008-12-30 Chong Yidong <cyd@stupidchicken.com> |
4452 | ||
4453 | * follow.el (follow-select-if-visible): Improve the check for the | |
4454 | last line of the window. | |
4455 | (follow-post-command-hook): Perform redisplay in more | |
19eb68d0 | 4456 | circumstances, to avoid getting point stuck on partially visible lines. |
2260cb2c | 4457 | |
b4c79e7b CY |
4458 | 2008-12-30 Chong Yidong <cyd@stupidchicken.com> |
4459 | ||
4460 | * follow.el (follow-calc-win-end): Use with-selected-window. | |
4461 | (follow-windows-start-end, follow-pos-visible) | |
4462 | (follow-windows-aligned-p): Code cleanup. | |
4463 | (follow-select-if-visible): Try to avoid partially-visible lines. | |
4464 | (follow-select-if-visible-from-first): Call follow-redisplay and | |
4465 | move point to destination. | |
4466 | (follow-redisplay): New arg, to keep selected window unchanged. | |
4467 | (follow-post-command-hook): In final check for destination | |
4468 | visibility, use window-start and window-end instead of the less | |
4469 | accurate follow-pos-visible. If the selected window is redrawn, | |
4470 | tell follow-redisplay to preserve it. | |
de70fe72 CY |
4471 | (follow-calculate-first-window-start-from-below): Avoid looping |
4472 | forever if vertical-motion returns an unexpected value. | |
b4c79e7b | 4473 | |
d9569a55 AS |
4474 | 2008-12-30 Andreas Schwab <schwab@suse.de> |
4475 | ||
19eb68d0 SM |
4476 | * server.el (server-running-p): Remove interactive spec. |
4477 | Fix regexp. | |
d9569a55 | 4478 | |
0d05df65 CY |
4479 | 2008-12-30 Chong Yidong <cyd@stupidchicken.com> |
4480 | ||
4481 | * follow.el (follow-calc-win-start): Don't use `inline'. | |
4482 | (follow-update-window-start, follow-select-if-visible) | |
4483 | (follow-calculate-first-window-start-from-below) | |
4484 | (follow-post-command-hook): Code cleanup. | |
64ac1266 SM |
4485 | (follow-downward, follow-calculate-first-window-start): |
4486 | Delete function and merge into follow-redisplay. | |
0d05df65 CY |
4487 | (follow-redisplay): Merge code from follow-downward and |
4488 | follow-calculate-first-window-start. | |
4489 | ||
744c85fb JB |
4490 | 2008-12-30 Juanma Barranquero <lekktu@gmail.com> |
4491 | ||
4492 | * proced.el (proced-tree-flag): Fix typo in docstring. | |
4493 | ||
48152a70 RW |
4494 | 2008-12-29 Roland Winkler <Roland.Winkler@physik.uni-erlangen.de> |
4495 | ||
64ac1266 | 4496 | * proced.el (proced-temp-alist): Rename from variable |
48152a70 RW |
4497 | proced-children-alist. |
4498 | (proced-process-tree, proced-toggle-tree): Fix docstring. | |
4499 | (proced-tree): Fix docstring. Simplify. Use proced-temp-alist. | |
4500 | (proced-temp-internal): Use proced-temp-alist. | |
4501 | ||
53374291 DN |
4502 | 2008-12-29 Dan Nicolaescu <dann@ics.uci.edu> |
4503 | ||
4504 | * subr.el (mkdir): New defalias. | |
4505 | ||
5adfa483 JL |
4506 | 2008-12-29 Juri Linkov <juri@jurta.org> |
4507 | ||
4508 | * proced.el (proced-mode-map): Bind " " to next-line instead of the | |
4509 | command `proced-next-line' removed on 2008-09-06. | |
4510 | ||
18e4b9bd JL |
4511 | 2008-12-28 Juri Linkov <juri@jurta.org> |
4512 | ||
4513 | * misearch.el (multi-isearch-next-buffer-function): Doc fix. | |
4514 | (multi-isearch-search-fun): Set the second arg WRAP to nil explicitly. | |
4515 | ||
4516 | * textmodes/reftex-global.el (reftex-isearch-switch-to-next-file): | |
4517 | Use `find-file-noselect' instead of `find-file' (for Emacs 22 and 23). | |
4518 | (reftex-isearch-minor-mode): If `multi-isearch-next-buffer-function' | |
4519 | is bound set it to `reftex-isearch-switch-to-next-file'. Otherwise, | |
4520 | set 4 obsolete variables for backward-compatibility with Emacs 22. | |
4521 | ||
4522 | 2008-12-28 Juri Linkov <juri@jurta.org> | |
4523 | ||
4524 | * misc.el (butterfly): Doc fix. Add `animate-string' and `browse-url'. | |
4525 | ||
4526 | 2008-12-28 Raffael Mancini <raffael.mancini@hcl-club.lu> (tiny change) | |
4527 | ||
4528 | * misc.el (butterfly): New command. | |
4529 | ||
f1d27653 RW |
4530 | 2008-12-28 Roland Winkler <Roland.Winkler@physik.uni-erlangen.de> |
4531 | ||
64ac1266 SM |
4532 | * proced.el (proced-grammar-alist): Allow predicate nil. |
4533 | New attribute tree. | |
f1d27653 RW |
4534 | (proced-format-alist): Use attribute tree. |
4535 | (proced-tree-flag, proced-tree-indent): New variables. | |
64ac1266 SM |
4536 | (proced-children-alist): Rename from proced-process-tree. |
4537 | PPID must refer to a process in process-alist. | |
4538 | Ignore PPIDs that equal PID (Bug#1718). | |
4539 | Children alist inherits sorting order from process-alist. | |
f1d27653 RW |
4540 | (proced-process-tree): New variable. New function. |
4541 | (proced-process-tree-internal, proced-toggle-tree) | |
64ac1266 | 4542 | (proced-tree, proced-tree-insert, proced-format-tree): New functions. |
f1d27653 RW |
4543 | (proced-mark-process-alist): Add docstring. |
4544 | (proced-filter-parents): PPID must refer to a process in | |
9330d4c0 | 4545 | process-alist. Ignore PPIDs that equal PID (Bug#1718). |
f1d27653 | 4546 | (proced-sort): Throw error if attribute is not sortable. |
64ac1266 | 4547 | (proced-sort-interactive): Restrict completion to sortable attributes. |
f1d27653 RW |
4548 | (proced-format): Include tree in standard attributes if |
4549 | proced-tree-flag is non-nil. Make header clickable only if | |
4550 | corresponding predicate is non-nil. | |
4551 | (proced-update): Use proced-tree. | |
4552 | ||
7d96af1a CY |
4553 | 2008-12-28 Chong Yidong <cyd@stupidchicken.com> |
4554 | ||
3dfe36a5 CY |
4555 | * diff.el (diff): Doc fix. |
4556 | ||
5fa77a6a CY |
4557 | * emacs-lisp/rx.el (rx): Doc fix. |
4558 | ||
7d96af1a CY |
4559 | * files.el (move-file-to-trash): Bind backup-directory-alist to nil |
4560 | before uniquifying backup trash file name. | |
4561 | ||
7f4a3168 AS |
4562 | 2008-12-27 Andreas Schwab <schwab@suse.de> |
4563 | ||
4564 | * vc-git.el (vc-git-dir-printer): Fix filename column. | |
4565 | ||
70403f65 | 4566 | 2008-12-27 Nicholas Maniscalco <nicholas@maniscalco.com> (tiny change) |
fe3a1c1d DN |
4567 | |
4568 | * term.el (term-emulate-terminal): Decode substring just prior to | |
70403f65 | 4569 | inserting it, i.e. revert part of the 2008-09-24 change. (Bug#1469) |
fe3a1c1d | 4570 | |
2c65e87c EZ |
4571 | 2008-12-27 Eli Zaretskii <eliz@gnu.org> |
4572 | ||
6c4d5dd3 EZ |
4573 | * makefile.w32-in (MH_E_DIR): New variable. |
4574 | ($(MH_E_DIR)/mh-alias.elc $(MH_E_DIR)/mh-comp.elc) | |
4575 | ($(MH_E_DIR)/mh-folder.elc $(MH_E_DIR)/mh-funcs.elc) | |
4576 | ($(MH_E_DIR)/mh-identity.elc $(MH_E_DIR)/mh-inc.elc) | |
4577 | ($(MH_E_DIR)/mh-junk.elc $(MH_E_DIR)/mh-letter.elc) | |
4578 | ($(MH_E_DIR)/mh-limit.elc $(MH_E_DIR)/mh-mime.elc) | |
4579 | ($(MH_E_DIR)/mh-print.elc $(MH_E_DIR)/mh-scan.elc) | |
4580 | ($(MH_E_DIR)/mh-search.elc $(MH_E_DIR)/mh-seq.elc) | |
4581 | ($(MH_E_DIR)/mh-show.elc $(MH_E_DIR)/mh-speed.elc) | |
4582 | ($(MH_E_DIR)/mh-thread.elc $(MH_E_DIR)/mh-tool-bar.elc) | |
4583 | ($(MH_E_DIR)/mh-utils.elc $(MH_E_DIR)/mh-xface.elc) | |
4584 | ($(MH_E_DIR)/mh-e.elc $(MH_E_DIR)/mh-limit.elc): New dependencies. | |
4585 | ||
2c65e87c EZ |
4586 | * Makefile.in ($(MH_E_DIR)/mh-alias.elc $(MH_E_DIR)/mh-comp.elc) |
4587 | ($(MH_E_DIR)/mh-folder.elc $(MH_E_DIR)/mh-funcs.elc) | |
4588 | ($(MH_E_DIR)/mh-identity.elc $(MH_E_DIR)/mh-inc.elc) | |
4589 | ($(MH_E_DIR)/mh-junk.elc $(MH_E_DIR)/mh-letter.elc) | |
4590 | ($(MH_E_DIR)/mh-limit.elc $(MH_E_DIR)/mh-mime.elc) | |
4591 | ($(MH_E_DIR)/mh-print.elc $(MH_E_DIR)/mh-scan.elc) | |
4592 | ($(MH_E_DIR)/mh-search.elc $(MH_E_DIR)/mh-seq.elc) | |
4593 | ($(MH_E_DIR)/mh-show.elc $(MH_E_DIR)/mh-speed.elc) | |
4594 | ($(MH_E_DIR)/mh-thread.elc $(MH_E_DIR)/mh-tool-bar.elc) | |
4595 | ($(MH_E_DIR)/mh-utils.elc $(MH_E_DIR)/mh-xface.elc) | |
4596 | ($(MH_E_DIR)/mh-e.elc $(MH_E_DIR)/mh-limit.elc): New dependencies. | |
4597 | ||
7533b418 EZ |
4598 | 2008-12-26 Eli Zaretskii <eliz@gnu.org> |
4599 | ||
4600 | * files.el (dosified-file-name): Declare. | |
4601 | ||
d3a36099 AS |
4602 | 2008-12-26 Andreas Schwab <schwab@suse.de> |
4603 | ||
c099a588 AS |
4604 | * subr.el (keymap-canonicalize): Properly preserve keymap prompt. |
4605 | ||
d3a36099 AS |
4606 | * tar-mode.el (tar-swap-data): New function. |
4607 | (tar-change-major-mode-hook): Use it instead of buffer-swap-text. | |
4608 | (tar-mode-revert): Likewise. | |
4609 | (tar-mode): Likewise. Don't set write-region-annotate-functions. | |
4610 | (tar-data-swapped-p): Test buffer-swapped-with. | |
4611 | (tar-write-region-annotate): Remove. | |
4612 | (tar-header-block-tokenize): Add argument `coding' and use it | |
4613 | instead of tar-file-name-coding-system. | |
4614 | (tar-summarize-buffer): Pass tar-file-name-coding-system here. | |
4615 | ||
2e480e42 EZ |
4616 | 2008-12-26 Eli Zaretskii <eliz@gnu.org> |
4617 | ||
4618 | * dos-fns.el (dosified-file-name): New function. | |
4619 | ||
4620 | * files.el (dir-locals-find-file): Run dir-locals-file through | |
4621 | dosified-file-name. Compare files case-insensitively on systems | |
4622 | whose filesystems are case-insensitive. | |
4623 | ||
90ad3541 MR |
4624 | 2008-12-25 Martin Rudalics <rudalics@gmx.at> |
4625 | ||
4626 | * help.el (help-for-help-internal): Fix entry for "l". | |
4627 | ||
737ef682 RS |
4628 | 2008-12-25 Richard M Stallman <rms@gnu.org> |
4629 | ||
4630 | * shadowfile.el (shadow-copy-file): Revert previous change. | |
4631 | This code as it was should work properly with buffer-swapped-with. | |
4632 | ||
78796feb SM |
4633 | 2008-12-24 Francois Fleuret <francois.fleuret@idiap.ch> (tiny change) |
4634 | ||
4635 | * textmodes/tex-mode.el (tex-bibtex-file): Use tex-main-file. | |
4636 | ||
e6979067 DN |
4637 | 2008-12-24 Dan Nicolaescu <dann@ics.uci.edu> |
4638 | ||
4639 | * subr.el (chmod): New defalias for set-file-modes. | |
4640 | ||
3fbb3dc4 JL |
4641 | 2008-12-23 Juri Linkov <juri@jurta.org> |
4642 | ||
78796feb SM |
4643 | * isearch.el (isearch-filter-predicate, isearch-search): |
4644 | Replace `isearch-filter-invisible' with `isearch-filter-visible'. | |
4645 | (isearch-filter-visible): Rename from | |
3fbb3dc4 JL |
4646 | `isearch-filter-invisible'. Doc fix. |
4647 | ||
4648 | * dired-aux.el (dired-isearch-filenames-toggle): Use the original | |
4649 | value from `dired-isearch-filter-predicate-orig' instead of the | |
4650 | symbol `isearch-filter-invisible'. Doc fix. | |
78796feb SM |
4651 | (dired-isearch-filter-filenames): Doc fix. |
4652 | Replace `isearch-filter-invisible' with `isearch-filter-visible'. | |
3fbb3dc4 JL |
4653 | |
4654 | * info.el (Info-find-node-2): Use negative offset 2 instead of 1 | |
4655 | for Info-point-loc since it is more correct in all cases. | |
4656 | (Info-isearch-filter): Rename from | |
4657 | `Info-isearch-filter-predicate'. Doc fix. | |
4658 | (Info-mode): Replace `Info-isearch-filter-predicate' with | |
4659 | `Info-isearch-filter'. | |
4660 | ||
43cf3760 DU |
4661 | 2008-12-23 Daiki Ueno <ueno@unixuser.org> |
4662 | ||
4663 | * pgg.el: Add obsolescence info. | |
4664 | ||
a1037423 RS |
4665 | 2008-12-22 Richard M Stallman <rms@gnu.org> |
4666 | ||
348a60a8 RS |
4667 | * shadowfile.el (shadow-copy-file): Handle buffer-swapped-with. |
4668 | ||
4669 | * files.el (buffer-swapped-with): New variable. | |
4670 | (basic-save-buffer-0): Most of basic-save-buffer moved here. | |
4671 | (basic-save-buffer): Unswap the buffer temporarily if nec. | |
4672 | ||
a1037423 RS |
4673 | * dired.el (dired-readin): Inhibit modification hooks. |
4674 | ||
8afbc8ae UJ |
4675 | 2008-12-22 Ulf Jasper <ulf.jasper@web.de> |
4676 | ||
78796feb SM |
4677 | * net/newst-backend.el (newst-backend): |
4678 | Remove wrong duplicate provide statement. | |
8afbc8ae | 4679 | |
dea31ca6 MA |
4680 | 2008-12-22 Michael Albinus <michael.albinus@gmx.de> |
4681 | ||
4682 | * net/tramp.el (tramp-rfn-eshadow-update-overlay): Move overlay to | |
4683 | end, before updating. | |
4684 | (tramp-convert-file-attributes): Add "l" indicator to file modes. | |
4685 | (tramp-file-name-real-user, tramp-file-name-domain): New defuns. | |
4686 | ||
9992ea0c MR |
4687 | 2008-12-21 Martin Rudalics <rudalics@gmx.at> |
4688 | ||
4689 | * window.el (set-window-text-height): Fix doc-string. | |
4690 | ||
125f7951 SM |
4691 | 2008-12-21 Stefan Monnier <monnier@iro.umontreal.ca> |
4692 | ||
4693 | * minibuffer.el (completion-all-completions-with-base-size): Remove. | |
4694 | (completion-all-completions): Don't set it. | |
4695 | (completion-table-with-context, completion--file-name-table): | |
4696 | Don't add base-size in last cdr. | |
4697 | (completion-hilit-commonality): Add argument `base-size'. | |
4698 | (display-completion-list, completion-emacs21-all-completions) | |
4699 | (completion-emacs22-all-completions, completion-basic-all-completions): | |
4700 | Provide it. | |
4701 | (completion-pcm--all-completions): Don't need to remove the base-size | |
4702 | in last-cdr any more. | |
4703 | ||
77fea89d | 4704 | 2008-12-20 Agustín Martín <agustin.martin@hispalinux.es> |
0aef89e7 AM |
4705 | |
4706 | * textmodes/ispell.el (ispell-check-minver): New function. | |
4707 | (ispell-check-version): Rewrite spellchecker and version checking. | |
70403f65 | 4708 | Use (ispell-check-minver). Handle hunspell versions. |
0aef89e7 | 4709 | |
95ee4b8f CY |
4710 | 2008-12-20 Chong Yidong <cyd@stupidchicken.com> |
4711 | ||
4712 | * ido.el (ido-read-internal): Handle `confirm' and | |
4713 | `confirm-after-completion' values for the require-match argument. | |
4714 | ||
c855d682 JR |
4715 | 2008-12-20 Jason Rumney <jasonr@gnu.org> |
4716 | ||
125f7951 | 4717 | * international/mule.el (auto-coding-regexp-alist): |
70403f65 | 4718 | Use utf-8-with-signature for files starting with UTF-8 BOM. (Bug#269) |
c855d682 | 4719 | |
6bc14936 CY |
4720 | 2008-12-20 Ami Fischman <ami@fischman.org> |
4721 | ||
4722 | * bindings.el (mode-line-eol-desc): Use assoc to query | |
4723 | mode-line-eol-desc-cache. | |
4724 | ||
7ed216d6 GM |
4725 | 2008-12-20 Glenn Morris <rgm@gnu.org> |
4726 | ||
4727 | * comint.el (comint-save-input-ring-index): Add missing initial value. | |
4728 | ||
d0482e4e JB |
4729 | 2008-12-19 Juanma Barranquero <lekktu@gmail.com> |
4730 | ||
4731 | * proced.el (proced-grammar-alist): Doc fix. | |
4732 | (proced-marker-char, proced-mark, proced-help-string, proced-mode-map) | |
4733 | (proced-filter-parents, proced-sort-header, proced-update, proced-help) | |
4734 | (proced-undo): Fix typos in docstrings. | |
4735 | ||
eef72fce GM |
4736 | 2008-12-19 Glenn Morris <rgm@gnu.org> |
4737 | ||
01cc3e13 GM |
4738 | * emacs-lisp/authors.el (authors-aliases): Add some more aliases. |
4739 | (authors-fixed-case): New constant. | |
eef72fce GM |
4740 | (authors-public-domain-files, authors-ignored-files): |
4741 | (authors-valid-file-names, authors-renamed-files-alist): Update lists. | |
4742 | (authors-renamed-files-regexps): Add some new entries. | |
4743 | (authors-canonical-file-name): Don't complain about ignored files. | |
4744 | (authors-canonical-author-name): Doc fix. Respect authors-fixed-case. | |
4745 | (authors): Ensure error buffer is writable. | |
4746 | ||
77fea89d | 4747 | 2008-12-18 Agustín Martín <agustin.martin@hispalinux.es> |
e483e9f8 | 4748 | |
a300e74a | 4749 | (ispell-really-hunspell): New variable to signal hunspell. |
e483e9f8 | 4750 | (ispell-check-version): |
a300e74a JB |
4751 | Check if hunspell and set ispell-really-hunspell if needed. |
4752 | (ispell-send-string, ispell-start-process, flyspell-large-region): | |
4753 | Pass right options for hunspell if needed. | |
e483e9f8 | 4754 | |
6e868247 KH |
4755 | 2008-12-18 Kenichi Handa <handa@m17n.org> |
4756 | ||
a300e74a | 4757 | * international/fontset.el (script-representative-chars) |
6e868247 KH |
4758 | (setup-default-fontset): Add more scripts. |
4759 | ||
4760 | * international/characters.el: Add more scripts. | |
4761 | ||
74a131b6 JL |
4762 | 2008-12-19 Juri Linkov <juri@jurta.org> |
4763 | ||
4764 | * info.el (Info-isearch-search): Implement lax version of | |
4765 | incremental word search in Info. | |
4766 | (Info-isearch-start): Set Info-isearch-initial-node to the | |
4767 | current node when search is nonincremental to not stop at the | |
4768 | initial node in this case. | |
4769 | (Info-mode-map): Unbind "\M-s" from `Info-search'. | |
4770 | ||
e6438428 JB |
4771 | 2008-12-18 Juanma Barranquero <lekktu@gmail.com> |
4772 | ||
4773 | * textmodes/rst.el (rst-promote-region): Reflow docstring. | |
4774 | (rst-mode-map, rst-mode-hook, rst-section-tree-rec) | |
4775 | (rst-repeat-last-character): Fix typos in docstrings. | |
4776 | (rst-mode, rst-backward-section): Doc fixes. | |
4777 | ||
77a01f9b UJ |
4778 | 2008-12-18 Ulf Jasper <ulf.jasper@web.de> |
4779 | ||
4780 | * net/newst-treeview.el (newsticker-groups-filename): Made obsolete. | |
4781 | (newsticker-treeview-quit): Call `newsticker-treeview-save'. | |
4782 | (newsticker--treeview-load): Check whether groups file exists. | |
4783 | ||
4784 | * net/newst-backend.el (newsticker-cache-filename): Made obsolete. | |
4785 | (newsticker-dir): Use `locate-user-emacs-file'. | |
4786 | ||
2f7ee51d MR |
4787 | 2008-12-18 Martin Rudalics <rudalics@gmx.at> |
4788 | ||
4789 | * emulation/cua-base.el (cmd): Add beginning-of-visual-line and | |
4790 | end-of-visual-line to CUA's recognized commands. (Bug#1549) | |
4791 | ||
7995501c DN |
4792 | 2008-12-18 Dan Nicolaescu <dann@ics.uci.edu> |
4793 | ||
3976387b DN |
4794 | * newcomment.el (comment-style): Default to `indent'. (Bug#1153) |
4795 | ||
fd95644b DN |
4796 | * startup.el (command-line): Do not mention the server name in |
4797 | case the user has not mentioned it, print a more explicit message. | |
4798 | ||
64ac1266 SM |
4799 | * vc-dir.el (vc-dir-at-event): Rename from vc-at-event. |
4800 | Change all callers. | |
7995501c | 4801 | |
5da5a66f SS |
4802 | 2008-12-18 Sam Steingold <sds@gnu.org> |
4803 | ||
f0131492 | 4804 | * vc-dir.el (vc-at-event): Handle (tool-bar) events. Fix bug#1585. |
5da5a66f | 4805 | |
b87573f0 JL |
4806 | 2008-12-18 Juri Linkov <juri@jurta.org> |
4807 | ||
4808 | * isearch.el (minibuffer-local-isearch-map): Unbind "C-w" from | |
4809 | `isearch-edit-string-set-word'. | |
4810 | (isearch-edit-string-set-word): Remove. | |
4811 | (isearch-occur): Imitate the C function `wordify' by replacing | |
4812 | non-word character with the \\W+ regexp. | |
4813 | (isearch-search-fun): Add `isearch-nonincremental' to the | |
4814 | condition of using a non-lax version of `word-search-forward'. | |
4815 | ||
2cb35a56 DU |
4816 | 2008-12-17 Daiki Ueno <ueno@unixuser.org> |
4817 | ||
64ac1266 SM |
4818 | * epa-file.el (epa-file-insert-file-contents): |
4819 | Set buffer-file-name before decrypting a file. | |
2cb35a56 | 4820 | |
35f372ca JB |
4821 | 2008-12-16 Juanma Barranquero <lekktu@gmail.com> |
4822 | ||
4823 | * server.el (server-start): If unable to start the server, | |
4824 | display a warning instead of raising an error. | |
4825 | ||
4826 | * startup.el (server-process): Add defvar. | |
4827 | (command-line): If the daemon is unable to start the server, | |
4828 | display a message and exit Emacs. | |
4829 | ||
55d150f3 SS |
4830 | 2008-12-15 Sam Steingold <sds@gnu.org> |
4831 | ||
4832 | * progmodes/cc-cmds.el (c-defun-name): Handle DEFFOO(name,...), | |
4833 | mostly for CLISP modules, but could also be useful elsewhere. | |
4834 | ||
af09cfd7 JB |
4835 | 2008-12-15 Juanma Barranquero <lekktu@gmail.com> |
4836 | ||
4837 | * face-remap.el (text-scale-mode-step, buffer-face-mode-face): | |
4838 | * icomplete.el (icomplete-prospects-height): | |
4839 | * textmodes/bibtex.el (bibtex-cite-matcher-alist): Add :version tags. | |
4840 | ||
9bc505ab JB |
4841 | 2008-12-15 Juanma Barranquero <lekktu@gmail.com> |
4842 | ||
d5c78b09 JB |
4843 | * international/mule.el (find-auto-coding): Fix typo in docstring. |
4844 | ||
2364df5c JB |
4845 | * international/mule-cmds.el (select-safe-coding-system): |
4846 | * mail/rmail.el (rmail-require-mime-maybe): | |
4847 | Fix arguments of `display-warning'. | |
4848 | ||
9bc505ab JB |
4849 | * simple.el (bad-package-check): Fix arguments of `display-warning', |
4850 | using the package name as TYPE. Use `string-match-p'. | |
4851 | ||
4ed46aef RW |
4852 | 2008-12-14 Roland Winkler <Roland.Winkler@physik.uni-erlangen.de> |
4853 | ||
4854 | * proced.el (proced-sort-interactive, proced-sort-pcpu) | |
4855 | (proced-sort-pmem, proced-sort-start, proced-sort-time) | |
4856 | (proced-sort-user, proced-sort-header): Prefix arg determines | |
9bc505ab | 4857 | sorting order. Suggested by Dan Nicolaescu. |
4ed46aef RW |
4858 | (proced-revert): Doc fix. |
4859 | ||
b4f671ce RW |
4860 | 2008-12-14 Roland Winkler <Roland.Winkler@physik.uni-erlangen.de> |
4861 | ||
4862 | * proced.el (proced-grammar-alist): Allow refiner elements that | |
4863 | are cons pairs (function . help-echo) or nil. | |
4864 | (proced-refine): Use them. | |
4865 | (proced-format-alist): Allow alternatives. | |
4866 | (proced-descend): New variable. | |
4867 | (proced-sort): New arg descend. | |
4868 | (proced-sort-interactive): Repeated calls toggle sort order. | |
4869 | (proced-format): Accomodate changes of proced-format-alist. | |
4870 | Undefined attributes are displayed as "?". | |
4871 | (proced-process-attributes): New optional arg pid-list. | |
4872 | Ignore processes with empty attribute list. | |
4873 | ||
4874 | 2008-12-14 Roland Winkler <Roland.Winkler@physik.uni-erlangen.de> | |
4875 | ||
64ac1266 | 4876 | * proced.el (proced-auto-update-interval): Rename from |
b4f671ce | 4877 | proced-timer-interval. |
64ac1266 SM |
4878 | (proced-auto-update-flag): Rename from proced-timer-flag. |
4879 | (proced-auto-update-timer): Rename from proced-timer. | |
4880 | (proced-toggle-auto-update): Rename from proced-toggle-timer-flag. | |
b4f671ce RW |
4881 | (proced-available): Initialize appropriately. |
4882 | ||
5ff602de GM |
4883 | 2008-12-13 Glenn Morris <rgm@gnu.org> |
4884 | ||
83031738 GM |
4885 | * subr.el (declare-function): Doc fix. |
4886 | ||
5ff602de GM |
4887 | * font-core.el (font-lock-defaults): Doc fix: mention levels; |
4888 | refer to elisp manual. | |
4889 | ||
9adf1f06 MR |
4890 | 2008-12-12 Martin Rudalics <rudalics@gmx.at> |
4891 | ||
4892 | * window.el (fit-window-to-buffer): Return non-nil when height | |
4893 | was orderly adjusted, nil otherwise. | |
4894 | ||
c63a334e JB |
4895 | 2008-12-12 Juanma Barranquero <lekktu@gmail.com> |
4896 | Stefan Monnier <monnier@iro.umontreal.ca> | |
4897 | ||
4898 | * server.el (server-sentinel): Uncomment code to delete connection file. | |
4899 | (server-start): Save the connection file in the server property list. | |
4900 | Delete it only when we are reasonably convinced that it is not owned by | |
4901 | a running server. | |
4902 | (server-force-delete): New command to force-delete the connection file, | |
4903 | and stop the server if it is running. | |
4904 | (server-running-p): Return t also for local TCP servers when we find a | |
4905 | process with a matching PID, and :other for undecided cases. | |
4906 | ||
4d0e7fe3 MR |
4907 | 2008-12-11 Martin Rudalics <rudalics@gmx.at> |
4908 | ||
4909 | * window.el (fit-window-to-buffer): Use with-selected-window and | |
4910 | condition-case. Do not delete more windows than necessary in | |
4911 | the shrinking (delta < 0) case. Do not raise an error when the | |
4912 | containing frame is too small to show all of buffer. (Bug#1488) | |
e5524cba | 4913 | |
4d0e7fe3 MR |
4914 | * dired.el (dired-pop-to-buffer): Call fit-window-to-buffer with |
4915 | min-height arg 1 to make sure that small buffers are shown. | |
4916 | ||
ee61fe97 JB |
4917 | 2008-12-11 Juanma Barranquero <lekktu@gmail.com> |
4918 | ||
4919 | * progmodes/ruby-mode.el (ruby-mode-abbrev-table, ruby-mode-map) | |
4920 | (ruby-mode-syntax-table, ruby-indent-tabs-mode, ruby-indent-level) | |
4921 | (ruby-mode-variables, ruby-indent-line, ruby-indent-size) | |
4922 | (ruby-calculate-indent, ruby-electric-brace, ruby-beginning-of-defun) | |
4923 | (ruby-here-doc-beg-syntax, ruby-here-doc-end-syntax) | |
4924 | (ruby-font-lock-syntax-table, ruby-font-lock-keywords) | |
4925 | (ruby-end-of-defun, ruby-move-to-block, ruby-forward-sexp) | |
4926 | (ruby-backward-sexp, ruby-add-log-current-method) | |
4927 | (ruby-font-lock-syntactic-keywords, ruby-comment-beg-syntax) | |
4928 | (ruby-in-here-doc-p, ruby-mode): Fix typos in docstrings. | |
4929 | (ruby-deep-indent-paren): Doc fix. | |
4930 | (ruby-insert-encoding-magic-comment): Remove * from docstring. | |
4931 | (ruby-here-doc-find-end): Reflow docstring. | |
4932 | ||
4933 | 2008-12-11 Stephen Berman <Stephen.Berman@rub.de> | |
c160ca6d MR |
4934 | |
4935 | * dired.el (dired-pop-to-buffer): Use fit-window-to-buffer when | |
4936 | dired-shrink-to-fit is non-nil. (Bug#1488) | |
4937 | ||
011a0143 JB |
4938 | 2008-12-11 Juanma Barranquero <lekktu@gmail.com> |
4939 | ||
4940 | * w32-fns.el (w32-list-locales): Revert part of 2008-10-17 change; | |
4941 | decoding of long locale info is now done in `w32-get-locale-info'. | |
4942 | ||
1b349702 CY |
4943 | 2008-12-11 Chong Yidong <cyd@stupidchicken.com> |
4944 | ||
4945 | * progmodes/ruby-mode.el: Fix auto-mode-alist entry. | |
4946 | ||
70b52829 CY |
4947 | 2008-12-10 Chong Yidong <cyd@stupidchicken.com> |
4948 | ||
89322882 CY |
4949 | * Makefile.in (ELCFILES): Add ruby-mode.elc. |
4950 | ||
70b52829 CY |
4951 | * term/xterm.el (xterm-remove-modify-other-keys): Fix error in |
4952 | terminal variable assignment. | |
4953 | ||
bc79ba50 GM |
4954 | 2008-12-10 Yukihiro Matsumoto <matz@ruby-lang.org> |
4955 | Nobuyoshi Nakada <nobu@ruby-lang.org> | |
4956 | ||
4957 | * progmodes/ruby-mode.el: New file. | |
4958 | ||
a512e1a2 JB |
4959 | 2008-12-10 Juanma Barranquero <lekktu@gmail.com> |
4960 | ||
4961 | * net/tramp.el (top): Don't fail if there is no current message. | |
0355f110 | 4962 | [Ulrich Mueller sent a patch, which I saw too late.] (Bug#1514) |
a512e1a2 | 4963 | |
e54be9a0 KH |
4964 | 2008-12-10 Kenichi Handa <handa@m17n.org> |
4965 | ||
4966 | * international/fontset.el (setup-default-fontset): Add an entry | |
4967 | for characters in the Unicode SIP area. | |
4968 | ||
fb2facde GM |
4969 | 2008-12-10 Glenn Morris <rgm@gnu.org> |
4970 | ||
4971 | * vc-mcvs.el: Move to obsolete/, with warning comment. | |
4972 | * Makefile.in (ELCFILES): Update. | |
4973 | ||
8e3efc87 DU |
4974 | 2008-12-10 Daiki Ueno <ueno@unixuser.org> |
4975 | ||
4976 | * epa-hook.el (epa-file-find-file-hook): Don't mark the current | |
4977 | buffer unmodified. (Bug#1509) | |
4978 | * epa-file.el (epa-file-insert-file-contents): Set buffer's | |
4979 | modification time if visiting a file and the content is | |
4980 | successfully decrypted. | |
4981 | ||
39af3de2 CY |
4982 | 2008-12-09 Chong Yidong <cyd@stupidchicken.com> |
4983 | ||
4984 | * files.el (save-some-buffers): At each map-y-or-n-p iteration, | |
4985 | check whether the buffer is still alive. | |
4986 | ||
e9e9c7b8 SM |
4987 | 2008-12-09 Stefan Monnier <monnier@iro.umontreal.ca> |
4988 | ||
4989 | * emacs-lisp/rx.el (rx-submatch): Pass : to rx-form. | |
4990 | ||
5c928144 GM |
4991 | 2008-12-09 Glenn Morris <rgm@gnu.org> |
4992 | ||
4993 | * Makefile.in (ELCFILES): Update. | |
4994 | ||
bdaf166c SM |
4995 | 2008-12-08 Stefan Monnier <monnier@iro.umontreal.ca> |
4996 | ||
4997 | * autoinsert.el (auto-insert): Use expand-file-name instead of concat. | |
4998 | Suggested by Eric Hanchrow <eric.hanchrow@gmail.com>. | |
4999 | ||
91b07ee3 CY |
5000 | 2008-12-06 Chong Yidong <cyd@stupidchicken.com> |
5001 | ||
5002 | * term/xterm.el (terminal-init-xterm): Discard pending input | |
5003 | before reading a reply to the terminal attributes query. | |
5004 | ||
fc7da30b AS |
5005 | 2008-12-05 Andreas Schwab <schwab@suse.de> |
5006 | ||
5007 | * dired.el (dired-font-lock-keywords): Fix last change. | |
5008 | ||
6530de7d VJL |
5009 | 2008-12-05 Vinicius Jose Latorre <viniciusjl@ig.com.br> |
5010 | ||
5011 | * ps-bdf.el (bdf-directory-list): Transform (defvar ... "*..") | |
5012 | into (defcustom ... "..." :type ... :group...). | |
5013 | ||
f7980931 JB |
5014 | 2008-12-05 Juanma Barranquero <lekktu@gmail.com> |
5015 | ||
5016 | * international/mule-diag.el (mule-diag): Display the window | |
5017 | system version in a less laconic way. | |
5018 | ||
e19fff38 JB |
5019 | 2008-12-04 Juanma Barranquero <lekktu@gmail.com> |
5020 | ||
5021 | * ibuffer.el (ibuffer-sort-bufferlist): Require ibuf-ext | |
5022 | if the sorting functions are not loaded. (Bug#1432) | |
5023 | ||
430aee8b SS |
5024 | 2008-12-04 Sam Steingold <sds@gnu.org> |
5025 | ||
bdaf166c | 5026 | * progmodes/compile.el (compilation-read-command): Extract from |
430aee8b SS |
5027 | compile. |
5028 | (compile): Use it. | |
5029 | (recompile): Accept an optional argument to enable editing the | |
5030 | command line. | |
5031 | ||
ccb4a481 MA |
5032 | 2008-12-04 Michael Albinus <michael.albinus@gmx.de> |
5033 | ||
5034 | * net/tramp.el (top): Write a message, when loading Tramp. | |
5035 | ||
bdaf166c SM |
5036 | * net/tramp-cache.el (tramp-dump-connection-properties): |
5037 | Polish the check, whether to dump the data. | |
ccb4a481 | 5038 | |
fcb61bee LK |
5039 | 2008-12-04 Lute Kamstra <lute@gnu.org> |
5040 | ||
5041 | * vc-cvs.el (vc-cvs-could-register): Don't use file-directory-p to | |
5042 | check if the file "CVS/Entries" exists. | |
5043 | ||
18f515e4 JB |
5044 | 2008-12-04 Juanma Barranquero <lekktu@gmail.com> |
5045 | ||
5046 | * international/mule-diag.el (list-character-sets): Doc fix. | |
5047 | (list-character-sets-2): Fix info in header. Simplify. | |
5048 | (print-coding-system): Simplify. | |
5049 | (list-input-methods-1): Simplify. | |
5050 | (mule-diag): Display current font/fontset for all window systems, | |
5051 | not just X. Simplify. | |
5052 | ||
8bfbd132 GM |
5053 | 2008-12-04 Glenn Morris <rgm@gnu.org> |
5054 | ||
c8d6b4bc GM |
5055 | * vc-cvs.el (vc-cvs-register-switches): Doc fix. Add t as option. |
5056 | (vc-cvs-register): Doc fix. | |
5057 | * vc-mcvs.el (vc-mcvs-register-switches): Doc fix. Add t as option. | |
5058 | (vc-mcvs-register): Doc fix. | |
5059 | * vc-rcs.el (vc-rcs-register-switches): Doc fix. Add t as option. | |
5060 | (vc-rcs-register): Doc fix. | |
5061 | * vc-sccs.el (vc-sccs-register-switches): Doc fix. Add t as option. | |
5062 | (vc-sccs-register): Doc fix. | |
5063 | * vc-svn.el (vc-svn-register-switches): Doc fix. Add t as option. | |
5064 | (vc-svn-register): Doc fix. | |
5065 | ||
8bfbd132 GM |
5066 | * files.el (toggle-read-only): Doc fix. |
5067 | ||
f7ede2fa SM |
5068 | 2008-12-03 Stefan Monnier <monnier@iro.umontreal.ca> |
5069 | ||
5070 | * apropos.el (apropos-print-doc): Fix thinko that caused long labels to | |
5071 | be used in compact layout and vice-versa. | |
5072 | ||
e4fe4569 JB |
5073 | 2008-12-03 Juanma Barranquero <lekktu@gmail.com> |
5074 | ||
555e23cf JB |
5075 | * w32-fns.el (w32-list-locales): Use `with-output-to-temp-buffer', |
5076 | like most list-* commands. | |
5077 | ||
e4fe4569 JB |
5078 | * international/mule-diag.el (unicodedata-file, unicode-data): Remove. |
5079 | They already exist in descr-text.el as `describe-char-unicodedata-file' | |
5080 | and `describe-char-unicode-data'. | |
5081 | (print-list): Simplify. | |
5082 | (read-charset): Reflow docstring. | |
5083 | (list-coding-systems): Doc fix. | |
5084 | ||
d2ee9b13 GM |
5085 | 2008-12-03 Glenn Morris <rgm@gnu.org> |
5086 | ||
5087 | * vc.el (vc-diff-switches): Doc fix. | |
5088 | * vc-bzr.el (vc-bzr-diff-switches): Doc fix. Add t as option. | |
5089 | (vc-bzr-log-switches): Doc fix. | |
5090 | * vc-cvs.el (vc-cvs-diff-switches): Doc fix. Add t as option. | |
5091 | * vc-git.el (vc-git-diff-switches): Doc fix. | |
5092 | * vc-hg.el (vc-hg-diff-switches): Doc fix. | |
5093 | * vc-mcvs.el (vc-mcvs-diff-switches): Doc fix. | |
5094 | * vc-mtn.el (vc-mtn-diff-switches): Doc fix. | |
5095 | * vc-rcs.el (vc-rcs-diff-switches): Doc fix. Add t as option. | |
5096 | * vc-sccs.el (vc-sccs-diff-switches): Doc fix. Add t as option. | |
5097 | ||
5098 | * vc-arch.el (vc-arch-diff-switches): New option, for consistency with | |
5099 | other backends. | |
5100 | (vc-arch-diff): Apply switches. | |
5101 | ||
5102 | * vc-cvs.el (vc-cvs-diff): Use vc-diff-switches or diff-switches rather | |
5103 | than appending. | |
5104 | ||
5105 | * vc-sccs.el (vc-sccs-register-switches): Remove leading * from doc. | |
5106 | ||
97c07afc DU |
5107 | 2008-12-03 Daiki Ueno <ueno@unixuser.org> |
5108 | ||
5109 | * epa.el (epa--show-key): Use past tense of "expire" if the key | |
5110 | has already been expired. Thanks to Peter BARABAS. | |
5111 | ||
9201cc28 LK |
5112 | 2008-12-03 Lute Kamstra <lute@gnu.org> |
5113 | ||
5114 | * align.el: | |
5115 | * allout.el: | |
5116 | * apropos.el: | |
5117 | * arc-mode.el: | |
5118 | * autoinsert.el: | |
5119 | * avoid.el: | |
5120 | * battery.el: | |
5121 | * bookmark.el: | |
5122 | * buff-menu.el: | |
5123 | * calculator.el: | |
5124 | * chistory.el: | |
5125 | * cmuscheme.el: | |
5126 | * comint.el: | |
5127 | * compare-w.el: | |
5128 | * dabbrev.el: | |
5129 | * delim-col.el: | |
5130 | * desktop.el: | |
5131 | * diff-mode.el: | |
5132 | * diff.el: | |
5133 | * dired-aux.el: | |
5134 | * dired-x.el: | |
5135 | * dired.el: | |
5136 | * dos-vars.el: | |
5137 | * ediff-diff.el: | |
5138 | * ediff-help.el: | |
5139 | * ediff-init.el: | |
5140 | * ediff-merg.el: | |
5141 | * ediff-mult.el: | |
5142 | * ediff-ptch.el: | |
5143 | * ediff-vers.el: | |
5144 | * ediff-wind.el: | |
5145 | * ediff.el: | |
5146 | * emerge.el: | |
5147 | * facemenu.el: | |
5148 | * faces.el: | |
5149 | * ffap.el: | |
5150 | * filecache.el: | |
5151 | * find-dired.el: | |
5152 | * font-core.el: | |
5153 | * font-lock.el: | |
5154 | * forms.el: | |
5155 | * fringe.el: | |
5156 | * help-at-pt.el: | |
5157 | * hippie-exp.el: | |
5158 | * ido.el: | |
5159 | * image-file.el: | |
5160 | * imenu.el: | |
5161 | * indent.el: | |
5162 | * info.el: | |
5163 | * isearchb.el: | |
5164 | * iswitchb.el: | |
5165 | * jit-lock.el: | |
5166 | * jka-compr.el: | |
5167 | * log-edit.el: | |
5168 | * lpr.el: | |
5169 | * ls-lisp.el: | |
5170 | * man.el: | |
5171 | * menu-bar.el: | |
5172 | * midnight.el: | |
5173 | * mouse-sel.el: | |
5174 | * mouse.el: | |
5175 | * msb.el: | |
5176 | * outline.el: | |
5177 | * paren.el: | |
5178 | * pcmpl-cvs.el: | |
5179 | * pcmpl-gnu.el: | |
5180 | * pcomplete.el: | |
5181 | * pcvs-info.el: | |
5182 | * pcvs-parse.el: | |
5183 | * printing.el: | |
5184 | * ps-mule.el: | |
5185 | * ps-print.el: | |
5186 | * replace.el: | |
5187 | * ruler-mode.el: | |
5188 | * saveplace.el: | |
5189 | * sb-image.el: | |
5190 | * scroll-bar.el: | |
5191 | * sha1.el: | |
5192 | * shadowfile.el: | |
5193 | * shell.el: | |
5194 | * sort.el: | |
5195 | * speedbar.el: | |
5196 | * strokes.el: | |
5197 | * tempo.el: | |
5198 | * term.el: | |
5199 | * terminal.el: | |
5200 | * time-stamp.el: | |
5201 | * time.el: | |
5202 | * tree-widget.el: | |
5203 | * type-break.el: | |
5204 | * vc-cvs.el: | |
5205 | * vc-hg.el: | |
5206 | * vc-mcvs.el: | |
5207 | * vc-rcs.el: | |
5208 | * vc-sccs.el: | |
5209 | * vc.el: | |
5210 | * view.el: | |
5211 | * w32-vars.el: | |
5212 | * whitespace.el: | |
5213 | * wid-edit.el: Remove leading * from docstrings of defcustoms, | |
5214 | deffaces, defconsts and defuns. | |
5215 | ||
da0bed93 SM |
5216 | 2008-12-02 Stefan Monnier <monnier@iro.umontreal.ca> |
5217 | ||
5218 | * complete.el (PC-do-completion): Fix leftover bug from Emacs-21 when | |
5219 | the prompt was added to the minibuffer. | |
5220 | ||
78151cd5 GM |
5221 | 2008-12-02 Glenn Morris <rgm@gnu.org> |
5222 | ||
5223 | * format.el (format-write-file): Rewrite doc yet again. | |
5224 | ||
5225 | * progmodes/cc-vars.el (c-tab-always-indent, c-insert-tab-function): | |
5226 | Doc fix. (Bug#1368) | |
5227 | ||
5228 | * textmodes/texinfo.el (texinfo-insert-quote): Tweak previous change. | |
5229 | ||
5230 | 2008-12-02 Aaron S. Hawley <aaronh@garden.org> | |
5231 | ||
5232 | * textmodes/texinfo.el (texinfo-insert-quote): Handle corner | |
5233 | case where point is at the beginning of the buffer. (Bug#1239) | |
5234 | ||
5235 | 2008-12-02 Lennart Borgman <lennart.borgman@gmail.com> | |
5236 | ||
5237 | * nxml/nxml-mode.el (nxml-indent-line): Don't re-indent lines that | |
5238 | already have the correct indentation. (Bug#859) | |
5239 | ||
1097333f KH |
5240 | 2008-12-02 Kenichi Handa <handa@m17n.org> |
5241 | ||
5242 | * international/uni-decomposition.el: Re-generated. | |
5243 | ||
a5dad58a AS |
5244 | 2008-12-01 Andreas Schwab <schwab@suse.de> |
5245 | ||
5246 | * dired.el (dired-font-lock-keywords): Avoid fontifying file names | |
5247 | ending in a colon as directory header. | |
5248 | ||
702c4b07 KH |
5249 | 2008-12-01 Kenichi Handa <handa@m17n.org> |
5250 | ||
5251 | * international/uni-numeric.el: Re-generated. | |
5252 | ||
5253 | * international/charprop.el: Re-generated. | |
5254 | ||
d6be7497 JB |
5255 | 2008-12-01 Juanma Barranquero <lekktu@gmail.com> |
5256 | ||
5257 | * international/mule-cmds.el (locale-preferred-coding-systems): | |
5258 | Fix alist item. | |
5259 | ||
7c3404ec MA |
5260 | 2008-12-01 Michael Albinus <michael.albinus@gmx.de> |
5261 | ||
5262 | * net/tramp-cache.el (tramp-cache-data-changed): New defvar. | |
5263 | (tramp-set-connection-property, tramp-flush-connection-property) | |
5264 | (tramp-dump-connection-properties): Use it. | |
5265 | ||
47f77e6f CY |
5266 | 2008-11-30 Chong Yidong <cyd@stupidchicken.com> |
5267 | ||
a124190e CY |
5268 | * wdired.el (wdired-finish-edit): If displaying a single file, |
5269 | change dired-directory if that file was renamed. | |
5270 | ||
bdaf166c SM |
5271 | * emacs-lisp/byte-run.el (macro-declaration-function): |
5272 | Disallow declare specs with lengths of 3 or more. | |
5829445e | 5273 | |
47f77e6f CY |
5274 | * dirtrack.el (dirtrack): Fix error message. |
5275 | ||
1e262c45 GM |
5276 | 2008-11-30 Glenn Morris <rgm@gnu.org> |
5277 | ||
9751169a GM |
5278 | * vc-svn.el (vc-svn-diff-switches): Doc fix. |
5279 | (vc-svn-diff): Fix the case where vc-svn-diff-switches is nil. | |
5280 | ||
39ba78ef GM |
5281 | * vc-git.el (vc-git-diff): |
5282 | * vc-hg.el (vc-hg-diff): | |
5283 | * vc-mtn.el (vc-mtn-diff): No need to duplicate vc-switches logic. | |
5284 | ||
1e262c45 GM |
5285 | * eshell/esh-proc.el (eshell-needs-pipe): New variable. |
5286 | (eshell-needs-pipe-p): New function. | |
5287 | (eshell-gather-process-output): Set process-connection-type according to | |
5288 | eshell-needs-pipe-p. (Bug#1388) | |
5289 | ||
64ba814f JB |
5290 | 2008-11-30 Juanma Barranquero <lekktu@gmail.com> |
5291 | ||
5292 | * calendar/diary-lib.el (diary-cyclic): Doc fix. | |
5293 | (diary-remind-message, diary-number-of-entries) | |
5294 | (diary-include-other-diary-files, diary-mark-included-diary-files) | |
5295 | (diary-view-entries, diary-date, diary-block, diary-insert-entry-1) | |
5296 | (diary-font-lock-keywords-1): Reflow docstrings. | |
5297 | (diary-add-to-list, calendar-mark-1, diary-entry-time, diary-make-date) | |
5298 | (diary-anniversary, diary-date-display-form): Fix typos in docstrings. | |
5299 | ||
5300 | * emacs-lisp/timer.el (timer-duration-words): Fix typo in docstring. | |
5301 | (timer-duration): Use `string-match-p'. | |
5302 | ||
03a27081 CY |
5303 | 2008-11-29 Chong Yidong <cyd@stupidchicken.com> |
5304 | ||
bdaf166c SM |
5305 | * complete.el (PC-do-complete-and-exit): |
5306 | Use minibuffer-confirm-exit-commands. | |
03a27081 | 5307 | |
bdaf166c SM |
5308 | * minibuffer.el (minibuffer-confirm-exit-commands): |
5309 | Add PC-complete and PC-complete-word to the list. | |
03a27081 | 5310 | |
febf3e6f JB |
5311 | 2008-11-29 Juanma Barranquero <lekktu@gmail.com> |
5312 | ||
76e7a7f0 JB |
5313 | * files.el (break-hardlink-on-save): Fix typo in docstring. |
5314 | Add :version tag. | |
5315 | ||
febf3e6f JB |
5316 | * emacs-lisp/re-builder.el (reb-mode-map): Fix typo in menu tooltip. |
5317 | (reb-mode): Define with `define-derived-mode'. | |
5318 | ||
3b7046cb | 5319 | 2008-11-29 Karl Fogel <kfogel@red-bean.com> |
1d367309 KF |
5320 | |
5321 | * files.el (break-hardlink-on-save): New variable. | |
5322 | (basic-save-buffer-2): Honor new variable break-hardlink-on-save. | |
5323 | (file-precious-flag): Mention it in doc string. | |
5324 | ||
d1826585 MB |
5325 | 2008-11-29 Miles Bader <miles@gnu.org> |
5326 | ||
5327 | * minibuffer.el (minibuffer-confirm-exit-commands): New variable. | |
5328 | (minibuffer-complete-and-exit): Use it. | |
5329 | ||
f47b9de1 CY |
5330 | 2008-11-29 Chong Yidong <cyd@stupidchicken.com> |
5331 | ||
5332 | * term/x-win.el (x-gtk-stock-cache): New hash table. | |
0aec90c9 CY |
5333 | (x-gtk-map-stock): Perform caching to prevent excess consing |
5334 | during redisplay. | |
f47b9de1 | 5335 | |
a231a45f SM |
5336 | 2008-11-29 Stefan Monnier <monnier@iro.umontreal.ca> |
5337 | ||
5338 | * minibuffer.el (completion--try-word-completion): Don't ignore | |
5339 | partial-completion if it's the only style. | |
5340 | ||
2644eb3f JB |
5341 | 2008-11-28 Juanma Barranquero <lekktu@gmail.com> |
5342 | ||
5343 | * emacs-lisp/re-builder.el (reb-auto-update): Remove redundant code. | |
5344 | (re-builder): Reuse window displaying the *RE-Builder* buffer, if any. | |
5345 | (reb-initialize-buffer): Update matches, in case we're reentering | |
5346 | RE Builder mode. | |
5347 | ||
8ce9231f CY |
5348 | 2008-11-28 Chong Yidong <cyd@stupidchicken.com> |
5349 | ||
3b7046cb JB |
5350 | * complete.el (PC-do-complete-and-exit): Synch to 2008-11-24 change |
5351 | to minibuffer.el; recognize `confirm' and `confirm-after-completion' | |
5352 | values for minibuffer-completion-confirm. | |
8ce9231f | 5353 | |
4d1243c8 MR |
5354 | 2008-11-28 Martin Rudalics <rudalics@gmx.at> |
5355 | ||
5356 | * emacs-lisp/eldoc.el (eldoc-docstring-first-line): Don't match | |
5357 | any but the first "*" in a doc-string. | |
5358 | ||
08d55d13 GM |
5359 | 2008-11-28 Glenn Morris <rgm@gnu.org> |
5360 | ||
5361 | * format.el (format-write-file): Improve previous doc fix. | |
5362 | ||
cab8a1af CY |
5363 | 2008-11-27 Chong Yidong <cyd@stupidchicken.com> |
5364 | ||
5365 | * simple.el (use-empty-active-region, use-region-p) | |
5366 | (region-active-p): Doc fix. | |
5367 | ||
a9d451f0 MR |
5368 | 2008-11-27 Martin Rudalics <rudalics@gmx.at> |
5369 | ||
5370 | * window.el (display-buffer): Fix handling of not-this-window | |
5371 | argument. (Bug#1415) | |
5372 | ||
6abbce64 KH |
5373 | 2008-11-27 Kenichi Handa <handa@m17n.org> |
5374 | ||
5375 | * international/characters.el: Set category 'C' to characters | |
5376 | #x20000..#x2AFFF, #x2F800..#x2FFFF. | |
5377 | ||
bdaf166c | 5378 | * loadup.el: Set inhibit-load-charset-map to t while preloading files. |
6abbce64 | 5379 | |
01d5aaeb CY |
5380 | 2008-11-27 Chong Yidong <cyd@stupidchicken.com> |
5381 | ||
5382 | * tool-bar.el (tool-bar-find-image-cache): Var deleted. | |
5383 | (tool-bar-find-image): Function deleted. | |
bdaf166c SM |
5384 | (tool-bar-local-item, tool-bar-local-item-from-menu): |
5385 | Use find-image instead of tool-bar-find-image. | |
01d5aaeb CY |
5386 | (tool-bar-keymap-cache): New hash table. |
5387 | (tool-bar-make-keymap): Use it. | |
5388 | (tool-bar-make-keymap-1): Move body of tool-bar-make-keymap here. | |
5389 | ||
f7d20b3e MA |
5390 | 2008-11-26 Michael Albinus <michael.albinus@gmx.de> |
5391 | ||
5392 | * net/dbus.el (dbus-handle-event): The result of a message call | |
386b953c | 5393 | handler can also be an object, which is not a consp. |
f7d20b3e | 5394 | |
3fbb05ff AM |
5395 | 2008-11-25 Alan Mackenzie <acm@muc.de> |
5396 | ||
5397 | * emacs-lisp/lisp.el (forward-sexp, backward-sexp, mark-sexp) | |
5398 | (forward-list, backward-list, down-list, backward-up-list) | |
5399 | (up-list, kill-sexp, backward-kill-sexp, kill-backward-up-list) | |
b97439ce | 5400 | (insert-pair, insert-parentheses): State that the command assumes |
3fbb05ff AM |
5401 | that point is not in a string or comment. |
5402 | ||
2152f125 MA |
5403 | 2008-11-26 Michael Albinus <michael.albinus@gmx.de> |
5404 | ||
5405 | * net/dbus.el (dbus-string-to-byte-array) | |
5406 | (dbus-byte-array-to-string, dbus-escape-as-identifier) | |
5407 | (dbus-unescape-from-identifier): New defuns. | |
5408 | (dbus-handle-event): The result of a message call is a list of | |
5409 | arguments, which must be expanded when passing to | |
5410 | `dbus-method-return-internal'. | |
5411 | ||
5412 | * net/tramp.el (tramp-handle-write-region): Improve the check for | |
5413 | calling direct copy. | |
5414 | (tramp-find-inline-encoding): Don't raise an error but a warning, | |
5415 | when no inline encoding is found. | |
5416 | (tramp-get-remote-coding): Check for a symbol. The negative check | |
5417 | for a string fails, when there is an empty string. | |
5418 | ||
9f688acf GM |
5419 | 2008-11-26 Glenn Morris <rgm@gnu.org> |
5420 | ||
5421 | * vc-git.el (vc-git-diff-switches): New option. | |
5422 | (vc-git-diff): Apply diff switches. (Bug#1386) | |
5423 | Give it a basic doc string. | |
5424 | ||
5425 | * term/pc-win.el (x-font-family-list): Replace redefinition with | |
5426 | ifdef in src/xfaces.c, since it confuses make-docfile. (Bug#1383) | |
5427 | ||
9f6a59d1 JB |
5428 | 2008-11-26 Vincent Belaïche <vincent.b.1@hotmail.fr> |
5429 | ||
5430 | * calc/calc-alg.el (calcFunc-collect): Normalize the coefficients | |
5431 | of the polynomial rather than the whole polynomial. | |
5432 | ||
78189610 CY |
5433 | 2008-11-25 Chong Yidong <cyd@stupidchicken.com> |
5434 | ||
5435 | * mail/mailabbrev.el (define-mail-abbrev): When reading from | |
5436 | mailrc, recognize string quoting. When reading from Lisp, accept | |
5437 | rfc822-like addresses. | |
5438 | ||
5439 | * mail/mailalias.el (define-mail-alias): Sync code with | |
5440 | define-mail-abbrev. | |
5441 | ||
975c500d GM |
5442 | 2008-11-25 Glenn Morris <rgm@gnu.org> |
5443 | ||
5444 | * eshell/esh-proc.el (eshell-gather-process-output): | |
5445 | Revert previous change. (re-opens Bug#1388) | |
5446 | ||
5447 | * select.el (x-set-cut-buffer): Fix signal arguments. | |
5448 | ||
5449 | * speedbar.el (speedbar-restricted-move): Fix signal arguments. | |
5450 | ||
e73da129 JB |
5451 | 2008-11-25 Juanma Barranquero <lekktu@gmail.com> |
5452 | ||
5453 | * play/bubbles.el (bubbles-mode): Define with `define-derived-mode'. | |
5454 | (bubbles-shift-mode, bubbles--update-score): Fix typos in docstrings. | |
5455 | (bubbles--empty-char): Use "\s". | |
5456 | ||
be5255e2 JB |
5457 | 2008-11-25 Jay Belanger <jay.p.belanger@gmail.com> |
5458 | ||
5459 | * calc/calc.el (calc-settings-file, calc-language-alist) | |
5460 | (calc-embedded-announce-formula) | |
5461 | (calc-embedded-announce-formula-alist) | |
5462 | (calc-embedded-open-formula, calc-embedded-close-formula) | |
5463 | (calc-embedded-open-close-formula-alist) | |
5464 | (calc-embedded-word-regexp-alist, calc-embedded-open-plain) | |
5465 | (calc-embedded-close-plain, calc-embedded-open-close-plain-alist) | |
5466 | (calc-embedded-open-new-formula, calc-embedded-close-new-formula) | |
5467 | (calc-embedded-open-close-new-formula-alist) | |
5468 | (calc-embedded-open-mode, calc-embedded-close-mode) | |
5469 | (calc-embedded-open-close-mode-alist, calc-gnuplot-name) | |
5470 | (calc-gnuplot-plot-command, calc-gnuplot-print-command) | |
5471 | (calc-multiplication-has-precedence): Remove unnecessary asterisks | |
5472 | from docstrings. | |
5473 | ||
a1ff7705 JB |
5474 | 2008-11-25 Juanma Barranquero <lekktu@gmail.com> |
5475 | ||
5476 | * calculator.el (calculator-op-or-exp): Reflow docstring. | |
5477 | (calculator-remove-zeros, calculator-repR, calculator-repL): | |
5478 | Fix typos in docstrings. | |
5479 | ||
27f98b7e CY |
5480 | 2008-11-24 Chong Yidong <cyd@stupidchicken.com> |
5481 | ||
962f8394 CY |
5482 | * log-view.el (log-view-annotate-version): Let vc-annotate manage |
5483 | windows instead of calling switch-to-buffer. | |
5484 | ||
27f98b7e CY |
5485 | * diff.el (diff-sentinel): Take note of diff error return value. |
5486 | ||
0836e2c3 MR |
5487 | 2008-11-24 Martin Rudalics <rudalics@gmx.at> |
5488 | ||
5489 | * calendar/appt.el (appt-disp-window): Do a set-buffer when the | |
5490 | frame can't be split. | |
5491 | ||
77a01f9b | 5492 | 2008-11-24 Ulf Jasper <ulf.jasper@web.de> |
a59c6c51 | 5493 | |
bdaf166c SM |
5494 | * net/newst-treeview.el (newsticker--treeview-current-feed): Docstring. |
5495 | (newsticker--treeview-item-show): Rename arg FEED to FEED-NAME-SYMBOL. | |
5496 | (newsticker--treeview-tree-update-tag): Remove dead code. | |
a59c6c51 UJ |
5497 | (newsticker-treeview-update): Don't update cache. |
5498 | (newsticker-treeview-quit): Don't save cache. | |
70403f65 | 5499 | (newsticker-treeview-save): Don't save cache. Use `newsticker-dir'. |
a59c6c51 UJ |
5500 | (newsticker-treeview-save): Use `newsticker-dir'. |
5501 | (newsticker--treeview-load): Use `newsticker-dir'. | |
5502 | (newsticker--treeview-mark-item): Call `newsticker--cache-save-feed'. | |
5503 | Update tags. | |
5504 | (newsticker-treeview-tree-do-click): Slightly simplified. | |
5505 | ||
5506 | * net/newst-backend.el (newsticker-dir): New. | |
5507 | (newsticker-start): Use `newsticker--cache-read'. | |
5508 | (newsticker-stop): Use `newsticker--cache-save'. | |
5509 | (newsticker--sentinel-work): Use `newsticker--cache-save-feed'. | |
5510 | (newsticker--images-dir): New. | |
bdaf166c SM |
5511 | (newsticker--image-get, newsticker--image-sentinel): |
5512 | Use `newsticker--images-dir'. | |
5513 | (newsticker--cache-save-version1): Backup version of | |
a59c6c51 UJ |
5514 | `newsticker--cache-save'. |
5515 | (newsticker--cache-update): Create newsticker-dir if necessary. | |
5516 | (newsticker--cache-dir): New. | |
5517 | (newsticker--cache-save): Save feeds separately in `newsticker-dir'. | |
5518 | (newsticker--cache-save-feed): New. | |
70403f65 | 5519 | (newsticker--cache-read-version1): New. Backuped version for reading |
a59c6c51 UJ |
5520 | cache data.. |
5521 | (newsticker--cache-read, newsticker--cache-do-read): New. | |
5522 | ||
bdaf166c SM |
5523 | * net/newst-reader.el (newsticker--image-read): |
5524 | Use `newsticker--images-dir'. | |
a59c6c51 | 5525 | |
14ac7ce7 CY |
5526 | 2008-11-24 Chong Yidong <cyd@stupidchicken.com> |
5527 | ||
bdaf166c SM |
5528 | * files.el (confirm-nonexistent-file-or-buffer): |
5529 | Allow `after-completion' value to request confirm only after TAB. | |
14ac7ce7 CY |
5530 | (confirm-nonexistent-file-or-buffer): New function. |
5531 | (read-buffer-to-switch, find-file, find-file-other-window) | |
5532 | (find-file-other-frame, find-file-read-only) | |
5533 | (find-file-read-only-other-window) | |
5534 | (find-file-read-only-other-frame): Use it. | |
bdaf166c | 5535 | (switch-to-buffer-other-window, switch-to-buffer-other-frame): Doc fix. |
8ef70f05 | 5536 | Thanks to Alan Mackenzie for suggesting the new behavior. |
14ac7ce7 | 5537 | |
bdaf166c SM |
5538 | * minibuffer.el (minibuffer-complete-and-exit): |
5539 | Change `confirm-only' value of minibuffer-completion-confirm to | |
14ac7ce7 CY |
5540 | `confirm', and handle a `confirm-after-completion' value. |
5541 | ||
19dd6a6c JB |
5542 | 2008-11-24 Juanma Barranquero <lekktu@gmail.com> |
5543 | ||
5544 | * emacs-lisp/elp.el (elp-instrument-list): Fix 2nd arg of `signal'. | |
5545 | ||
fa4354c1 AS |
5546 | 2008-11-24 Andreas Schwab <schwab@suse.de> |
5547 | ||
5548 | * image.el (image-type-header-regexps): Recognize more xbm format | |
5549 | variants. | |
5550 | ||
98d92ce3 JB |
5551 | 2008-11-24 Juanma Barranquero <lekktu@gmail.com> |
5552 | ||
5553 | * textmodes/artist.el (artist-charlist-to-string): Simplify. | |
5554 | (artist-mode-name, artist-mt, artist-vaporize-fuzziness) | |
5555 | (artist-prev-next-op-alist, artist-mode-line-show-curr-operation) | |
5556 | (artist-replace-string, artist-draw-rect, artist-draw-line) | |
5557 | (artist-undraw-line, artist-draw-sline, artist-undraw-rect) | |
5558 | (artist-rect-corners-squarify, artist-undraw-square, artist-fill-square) | |
5559 | (artist-pen, artist-pen-line, artist-text-insert-common) | |
5560 | (artist-text-overwrite, artist-spray-get-interval) | |
5561 | (artist-spray-clear-circle, artist-erase-char) | |
5562 | (artist-ellipse-generate-quadrant, artist-copy-square, artist-paste) | |
5563 | (artist-flood-fill, artist-key-draw-continously, artist-key-draw-poly) | |
5564 | (artist-key-draw-1point, artist-key-draw-2points) | |
5565 | (artist-mouse-draw-continously): Fix typos in docstrings. | |
5566 | (artist-aspect-ratio, artist-mode, artist-go-get-symbol-shift) | |
5567 | (artist-go-get-symbol-shift-sub, artist-previous-line, artist-next-line) | |
5568 | (artist-backward-char, artist-forward-char): Doc fixes. | |
5569 | (artist-vaporize-line, artist-spray-chars, artist-spray-new-char): | |
5570 | Reflow docstrings. | |
5571 | ||
23a01417 SM |
5572 | 2008-11-24 Stefan Monnier <monnier@iro.umontreal.ca> |
5573 | ||
5574 | * international/quail.el (quail-vunion): New function. | |
5575 | (quail-defrule-internal): Use it to prevent accumulating redundant | |
5576 | alternatives when `append' is set. | |
5577 | (quail-insert-decode-map): Simplify computation of the max-key-width. | |
5578 | Compute it right for multiple-list. | |
5579 | ||
443a1c3f CY |
5580 | 2008-11-24 Chong Yidong <cyd@stupidchicken.com> |
5581 | ||
5582 | * emacs-lisp/elp.el (elp-instrument-list): Check argument type | |
5583 | explicitly. Doc fix. | |
5584 | ||
bc5777c1 MR |
5585 | 2008-11-24 Martin Rudalics <rudalics@gmx.at> |
5586 | ||
5587 | * calendar/appt.el (appt-disp-window): Move minibuffer check | |
5588 | after binding this-window and do not set-buffer to make sure we | |
dd419ee5 | 5589 | won't deselect the minibuffer. (Bug#1413) |
bc5777c1 | 5590 | |
c0203c86 DN |
5591 | 2008-11-24 Dan Nicolaescu <dann@ics.uci.edu> |
5592 | ||
975c500d | 5593 | * vc-hg.el (vc-hg-program): Remove. |
23a01417 SM |
5594 | (vc-hg-state, vc-hg-working-revision, vc-hg-command): |
5595 | Undo previous change. | |
c0203c86 | 5596 | |
2faede35 MR |
5597 | 2008-11-23 Martin Rudalics <rudalics@gmx.at> |
5598 | ||
5599 | * info-look.el (info-lookup): When Info buffer is visible on | |
5600 | another frame, raise that frame. | |
5601 | ||
d41b91e6 JB |
5602 | 2008-11-23 Juanma Barranquero <lekktu@gmail.com> |
5603 | ||
5604 | * allout.el (allout-mode): Fix typo in docstring. | |
5605 | ||
5606 | * vc-annotate.el (vc-annotate-warp-revision): Reflow docstring. | |
5607 | (vc-annotate-very-old-color, vc-annotate-display): Fix docstring typos. | |
5608 | ||
066b7259 SM |
5609 | 2008-11-23 Stefan Monnier <monnier@iro.umontreal.ca> |
5610 | ||
5611 | * vc-hooks.el (vc-follow-link): Complete last change. | |
5612 | ||
7ee68ed5 JB |
5613 | 2008-11-23 Juanma Barranquero <lekktu@gmail.com> |
5614 | ||
5615 | * textmodes/remember.el (remember-region): Doc fix. | |
5616 | (remember-filter-functions, remember-handler-functions) | |
5617 | (remember-save-after-remembering, remember-mailbox) | |
5618 | (remember-default-priority, remember-data-file, remember-leader-text) | |
5619 | (remember-diary-file): Remove * from defcustom docstrings. | |
5620 | (remember-run-all-annotation-functions-flag) | |
5621 | (remember-all-handler-functions): Reflow docstrings. | |
5622 | (remember-store-in-mailbox): Fix typo in docstring. | |
5623 | (remember-mode): Define with `define-derived-mode'. Doc fix. | |
5624 | ||
403e4dc9 GM |
5625 | 2008-11-23 Glenn Morris <rgm@gnu.org> |
5626 | ||
5627 | * eshell/esh-cmd.el (eshell-in-pipeline-p): Add doc-string. | |
5628 | (eshell-do-pipelines): Add optional argument to distinguish recursive | |
5629 | calls. Use to set eshell-in-pipeline-p to 'first for the first command | |
5630 | in a pipeline. | |
5631 | ||
5632 | * eshell/esh-proc.el (eshell-gather-process-output): | |
5633 | Set process-connection-type nil for all but the first element of a | |
5634 | pipeline. (Bug#1388) | |
7ee68ed5 | 5635 | |
b7749a81 | 5636 | 2008-11-22 Michael Kifer <kifer@cs.stonybrook.edu> |
7ee68ed5 | 5637 | |
179bdd60 | 5638 | * ediff-hook.el: Add tooltips to Emacs menus. |
7ee68ed5 | 5639 | |
179bdd60 | 5640 | * ediff.el: Change date of last update. |
403e4dc9 | 5641 | |
85b84319 JL |
5642 | 2008-11-22 Juri Linkov <juri@jurta.org> |
5643 | ||
5644 | * dired-aux.el (dired-isearch-filenames): Add new context-dependent | |
5645 | option `dwim'. Change non-dwim option from `dired-filename' to `t'. | |
5646 | Doc fix. | |
5647 | (dired-isearch-filenames-setup): Run filename Isearch only when | |
5648 | dired-isearch-filenames is t or dired-isearch-filenames is `dwim' | |
5649 | and the text property `dired-filename' at point is non-nil. | |
5650 | In this case also set isearch-message-prefix-add to "filename ". | |
5651 | (dired-isearch-filenames-end): Set isearch-message-prefix-add to nil. | |
5652 | (dired-isearch-filenames, dired-isearch-filenames-regexp): | |
5653 | Don't let-bind isearch-message-prefix-add since this is done now | |
5654 | in dired-isearch-filenames-setup. | |
5655 | ||
5656 | * isearch.el (isearch-mode): Change the order of calling | |
5657 | `isearch-update' and running the `isearch-mode-hook' to call | |
5658 | `isearch-update' with Isearch parameters set in this hook. | |
5659 | ||
5660 | * progmodes/compile.el (compilation-auto-jump-to-first-error): | |
5661 | Fix docstring - change "during `compile'" to "during compilation". | |
5662 | ||
5663 | * descr-text.el (describe-char-unidata-list): Move `old-name' to | |
5664 | be immediately after `name'. | |
5665 | ||
5666 | * startup.el (initial-buffer-choice): Change an abstract file name | |
5667 | "file.txt" to more reasonable default file name value "~/.emacs". | |
5668 | ||
75fd7f12 JL |
5669 | 2008-11-22 Juri Linkov <juri@jurta.org> |
5670 | ||
5671 | * files.el (hack-local-variables-confirm): Rename arg `project' to | |
5672 | `dir-name'. Move `(or dir-name name)' to let-binding of `name'. | |
5673 | (hack-local-variables-filter): Rename arg `project' to `dir-name'. | |
5674 | (hack-local-variables): Rename call of `hack-project-variables' to | |
5675 | `hack-dir-local-variables'. Change error text to "Directory-local | |
5676 | variables error". | |
5677 | (dir-locals-class-alist): Rename from `project-class-alist'. | |
5678 | (dir-locals-directory-alist): Rename from `project-directory-alist'. | |
5679 | (dir-locals-get-class-variables): Rename from `project-get-alist'. | |
5680 | (dir-locals-collect-mode-variables): Rename from | |
5681 | `project-collect-bindings-from-alist'. Rename arg `mode-alist' to | |
5682 | `mode-variables', and arg `settings' to `variables'. | |
5683 | (dir-locals-collect-variables): Rename from | |
5684 | `project-collect-binding-list'. Rename arg `binding-list' to | |
5685 | `class-variables', and arg `settings' to `variables'. | |
5686 | (dir-locals-set-directory-class): Rename from `set-directory-project'. | |
5687 | (dir-locals-set-class-variables): Rename from `define-project-bindings'. | |
5688 | Rename arg `settings' to `variables'. | |
5689 | (dir-locals-file): New defconst. | |
5690 | (dir-locals-find-file): Rename from `project-find-settings-file'. | |
5691 | Use `dir-locals-file'. Rename let-bound `settings' to `locals-file', | |
5692 | and `pda' to `dir-elt'. | |
5693 | (dir-locals-read-from-file): Rename from | |
5694 | `project-define-from-project-file'. Rename arg `settings-file' | |
5695 | to `file'. Rename let-bound `list' to `variables'. | |
5696 | (hack-dir-local-variables): Rename from `hack-project-variables'. | |
5697 | Rename let-bound `settings' to `variables-file', `root-dir' | |
5698 | to `dir-name', and `bindings' to `variables'. | |
5699 | ||
9af967bd LK |
5700 | 2008-11-22 Lute Kamstra <lute@gnu.org> |
5701 | ||
5702 | * simple.el (goto-line): Rename argument. | |
5703 | ||
f7a043c3 EZ |
5704 | 2008-11-22 Eli Zaretskii <eliz@gnu.org> |
5705 | ||
0b8e2d21 EZ |
5706 | * makefile.w32-in (compile-first): New target. |
5707 | (recompile): Depend on compile-first. | |
5708 | ||
f7a043c3 EZ |
5709 | * Makefile.in (recompile): Depend on compile-first. |
5710 | ||
3ad96b4d MR |
5711 | 2008-11-22 Martin Rudalics <rudalics@gmx.at> |
5712 | ||
5713 | * files.el (switch-to-buffer-other-window) | |
5714 | (switch-to-buffer-other-frame): Rename buffer argument to | |
5715 | buffer-or-name. Reword and mention new option | |
5716 | confirm-nonexistent-file-or-buffer in doc-string. | |
5717 | ||
8c3ad6c4 | 5718 | 2008-11-22 Michael Kifer <kifer@cs.stonybrook.edu> |
3ad96b4d | 5719 | |
2cf6bf63 | 5720 | * emulation/viper.el: Date change. |
3ad96b4d | 5721 | |
2cf6bf63 | 5722 | * emulation/viper-cmd.el (viper-envelop-ESC-key): |
8c3ad6c4 | 5723 | viper-translate-all-ESC-keysequences is now a function. |
3ad96b4d | 5724 | |
49851cf7 | 5725 | * emulation/viper-init.el (viper-translate-all-ESC-keysequences): |
2cf6bf63 | 5726 | Make this variable. |
3ad96b4d | 5727 | |
33f95a82 SM |
5728 | 2008-11-22 Stefan Monnier <monnier@iro.umontreal.ca> |
5729 | ||
5730 | * vc-hooks.el (vc-follow-link, vc-find-file-hook): | |
5731 | Use buffer-file-truename to handle symlinks in any part of the filename. | |
5732 | ||
a857238c GM |
5733 | 2008-11-22 Glenn Morris <rgm@gnu.org> |
5734 | ||
5735 | * vc-mtn.el (vc-mtn-diff-switches): New option. | |
5736 | (vc-mtn-program): Rename from vc-mtn-command, for | |
5737 | consistency with other backends. Keep old name as alias. | |
5738 | Update callers. Make it a defcustom. | |
5739 | (vc-mtn-diff): Give it a doc string. Apply diff switches. | |
5740 | ||
5741 | * vc-arch.el (vc-arch-program): Rename from vc-arch-command, for | |
5742 | consistency with other backends. Keep old name as alias. | |
5743 | Make it a defcustom. | |
5744 | (vc-arch-command, vc-arch-trim-revlib): Adapt for above change. | |
5745 | ||
5746 | * vc-hg.el (vc-hg-program): New option. | |
5747 | (vc-hg-state, vc-hg-working-revision, vc-hg-command): | |
5748 | Use vc-hg-program rather than hard-coded "hg". | |
5749 | ||
5750 | * vc-svn.el: Remove leading `*' from defcustom doc-strings. | |
5751 | (vc-svn-program): Move defcustom to start. | |
5752 | (vc-svn-create-repo, vc-svn-modify-change-comment): | |
5753 | Use vc-svn-program rather than hard-coded "svn". | |
5754 | ||
5755 | * menu-bar.el: Stylistic consistency fixes for various menu and | |
5756 | help texts. | |
5757 | ||
5758 | * kmacro.el (kmacro-insert-counter): Doc fix. | |
5759 | ||
723d286f SM |
5760 | 2008-11-21 Ivan Shmakov <oneingray@gmail.com> (tiny change) |
5761 | ||
5762 | * progmodes/tcl.el (tcl-filter): Don't forcibly move point. | |
5763 | ||
3889f0fa | 5764 | 2008-11-21 Stefan Monnier <monnier@iro.umontreal.ca> |
534d37da | 5765 | |
94579c02 SM |
5766 | * international/quail.el (quail-indent-to): New function. |
5767 | (quail-completion-1, quail-completion-list-translations) | |
5768 | (quail-insert-decode-map): Use it to improve alignment. | |
5769 | (quail-build-decode-map): Don't ignore latin-1 chars. | |
5770 | (quail-help): Increase the max decode-map size so that even the TeX map | |
5771 | gets printed. | |
5772 | ||
2ef435bf SM |
5773 | * bookmark.el (bookmark-get-bookmark): Add `noerror' arg. |
5774 | Signal error for invalid bookmark. | |
5775 | (bookmark-get-bookmark-record): Undo last change. | |
5776 | (bookmark-store, bookmark-delete): Use new arg `noerror'. | |
5777 | ||
3889f0fa SM |
5778 | * bookmark.el (bookmark-buffer-file-name): Also abbreviate dired-dir. |
5779 | Suggested by Toru TSUNEYOSHI. | |
5780 | ||
86edb111 CY |
5781 | 2008-11-21 Shigeru Fukaya <shigeru.fukaya@gmail.com> |
5782 | ||
5783 | * byte-opt.el (byte-compile-butlast): Move up in file. | |
5784 | (byte-optimize-plus): Don't call | |
3889f0fa SM |
5785 | byte-optimize-delay-constants-math (bug#1334). |
5786 | Use byte-optimize-predicate to optimize constants. | |
395f0100 JB |
5787 | (byte-optimize-minus): Don't call byte-optimize-delay-constants-math. |
5788 | Remove zero arguments first | |
86edb111 CY |
5789 | if possible. Call byte-optimize-predicate to optimize constants. |
5790 | (byte-optimize-multiply): Remove optimizations for arguments of 0 | |
3889f0fa SM |
5791 | and 2, which may be inaccurate. Optimize (* x -1) to (- x). |
5792 | Call byte-optimize-predicate. | |
86edb111 | 5793 | (byte-optimize-divide): Leave runtime errors unchanged. |
395f0100 | 5794 | Optimize (/ x 1) to (+ x 0). Remove optimizations for arguments of |
86edb111 CY |
5795 | 0 and 2.0, which may be inaccurate. Call byte-optimize-predicate. |
5796 | ||
4b65254d JB |
5797 | 2008-11-21 Juanma Barranquero <lekktu@gmail.com> |
5798 | ||
86edb111 CY |
5799 | * bookmark.el (bookmark-jump-noselect): Add obsolescence |
5800 | declaration and remove redundant info from docstring. | |
0f219a97 | 5801 | |
4b65254d JB |
5802 | * isearch.el (isearch-search-string): Doc fix. |
5803 | (search-exit-option, search-slow-window-lines, search-slow-speed) | |
5804 | (search-upper-case, search-nonincremental-instead) | |
5805 | (search-whitespace-regexp, search-ring-max, regexp-search-ring-max) | |
5806 | (search-ring-update, search-highlight, isearch-lazy-highlight) | |
5807 | (lazy-highlight-cleanup, lazy-highlight-initial-delay) | |
5808 | (lazy-highlight-interval): Remove * from defcustom docstrings. | |
5809 | (isearch-resume-in-command-history, lazy-highlight-max-at-a-time): | |
5810 | Remove * from defcustom docstrings; fix typos. | |
5811 | (isearch-push-state-function, isearch-help-for-help-internal) | |
5812 | (isearch-help-for-help, isearch-describe-bindings) | |
5813 | (isearch-describe-mode, minibuffer-local-isearch-map, isearch-mode) | |
5814 | (isearch-pop-fun-state, isearch-search-fun-function) | |
5815 | (isearch-lazy-highlight-search): Fix typos in docstrings. | |
5816 | (isearch-yank-internal, isearch-fallback): Reflow docstrings. | |
5817 | (isearch-forward): Fix typo and reflow docstring. | |
5818 | (isearch-help-map): Remove unused `i' binding. Fix typos in docstring. | |
5819 | (isearch-mode-map): Don't use `let*'. | |
5820 | ||
af690100 CY |
5821 | 2008-11-21 Chong Yidong <cyd@stupidchicken.com> |
5822 | ||
4b65254d | 5823 | * files.el (confirm-nonexistent-file-or-buffer): Change default to nil. |
af690100 | 5824 | |
e1ff8dd0 SM |
5825 | 2008-11-21 Stefan Monnier <monnier@iro.umontreal.ca> |
5826 | ||
3be5da9e SM |
5827 | * isearch.el (isearch-search-string): Simplify and convert docstring. |
5828 | ||
e1ff8dd0 SM |
5829 | * buff-menu.el (Buffer-menu-short-ellipsis): Partly undo last change. |
5830 | ||
11eac3ea JB |
5831 | 2008-11-20 Juanma Barranquero <lekktu@gmail.com> |
5832 | ||
5833 | * international/mule-util.el (set-nested-alist): Doc fix. Use `when'. | |
5834 | (lookup-nested-alist): Doc fix. | |
5835 | (with-coding-priority): Reflow docstring. | |
5836 | (detect-coding-with-priority): Fix typos in obsolescence declaration. | |
5837 | (char-displayable-p): Reflow docstring. Use `when'. | |
5838 | ||
d46b5214 DN |
5839 | 2008-11-20 Dan Nicolaescu <dann@ics.uci.edu> |
5840 | ||
5841 | * calendar/cal-menu.el (cal-menu-scroll-menu): Add bindings for | |
5842 | week forward/backward. | |
5843 | ||
3fd29551 JB |
5844 | 2008-11-20 Juanma Barranquero <lekktu@gmail.com> |
5845 | ||
5846 | * ehelp.el (electric-help-map, ehelp-map): Define within defvar. | |
5847 | (with-electric-help): Fix typo and reflow docstring. | |
5848 | ||
31e02fab SM |
5849 | 2008-11-20 Stefan Monnier <monnier@iro.umontreal.ca> |
5850 | ||
5851 | * buff-menu.el (Buffer-menu-short-ellipsis): New constant. | |
5852 | (Buffer-menu-buffer+size): Use it, fix last change, and simplify. | |
5853 | (list-buffers-noselect): Don't need to pad. | |
5854 | ||
11eac3ea | 5855 | 2008-11-20 Toru TSUNEYOSHI <t_tuneyosi@hotmail.com> |
a3e5a603 SM |
5856 | |
5857 | * buff-menu.el (Buffer-menu-buffer+size, list-buffers-noselect): | |
5858 | Pay attention to char widths when computing string sizes. | |
5859 | ||
2b9c3b12 JB |
5860 | 2008-11-20 Juanma Barranquero <lekktu@gmail.com> |
5861 | ||
5862 | * emacs-lisp/bytecomp.el (byte-compile-dynamic-docstrings) | |
5863 | (byte-compile-output-docform): Reflow docstrings. | |
5864 | (no-byte-compile): Fix typo in docstring. | |
5865 | (byte-recompile-directory, compile-defun): Doc fixes. | |
5866 | (emacs-lisp-file-regexp, byte-compile-verbose) | |
5867 | (byte-compile-compatibility, byte-optimize, byte-compile-delete-errors) | |
5868 | (byte-compile-dynamic-docstrings, byte-optimize-log) | |
5869 | (byte-compile-error-on-warn, byte-compile-warnings) | |
5870 | (byte-compile-generate-call-tree, byte-compile-call-tree-sort): | |
5871 | Remove * from defcustom docstrings. | |
5872 | ||
6620643f GM |
5873 | 2008-11-20 Glenn Morris <rgm@gnu.org> |
5874 | ||
5875 | * format.el (format-alist, format-write-file): Doc fix. | |
5876 | ||
5877 | * proced.el (proced-available): New variable. | |
5878 | (proced-process-attributes): Move availability check from previous | |
5879 | change from here... | |
5880 | (proced): ... to here. | |
5881 | ||
5882 | * vc-hg.el (vc-hg-diff-switches): New option. | |
5883 | (vc-hg-diff): Apply diff switches. (Bug#1017) | |
5884 | ||
5885 | * calc/calc-units.el (math-additional-units): Doc fix (active voice). | |
5886 | ||
5887 | * progmodes/fortran.el (fortran-mode-map): Add some menu :help. | |
5888 | ||
5889 | 2008-11-20 Stephen Berman <Stephen.Berman@gmx.net> | |
5890 | ||
5891 | * files.el (auto-mode-alist): Recognize more OpenOffice.org extensions. | |
5892 | ||
60afd99b JB |
5893 | 2008-11-19 Juanma Barranquero <lekktu@gmail.com> |
5894 | ||
5895 | * calc/calc-units.el (math-units-table): Doc fix. | |
5896 | (math-standard-units): Fix typo in constant's description. | |
5897 | (math-additional-units): Fix typo in docstring. | |
5898 | ||
1f52c942 | 5899 | 2008-11-19 Ulrich Mueller <ulm@kph.uni-mainz.de> |
4929aa69 JB |
5900 | |
5901 | * calc/calc-units.el (math-standard-units): Add eps0, | |
5902 | permittivity of vacuum. | |
5903 | ||
35f0d8ce DN |
5904 | 2008-11-19 Dan Nicolaescu <dann@ics.uci.edu> |
5905 | ||
5906 | * files.el (project-settings-file): Remove. | |
5907 | (project-find-settings-file): Undo previous change that added the | |
5908 | use of project-settings-file. | |
5909 | ||
667df88c GM |
5910 | 2008-11-19 Glenn Morris <rgm@gnu.org> |
5911 | ||
5912 | * proced.el (proced, proced-format-time): Doc fixes. | |
5913 | (proced-process-attributes): Signal an error if unsupported. (Bug#1314) | |
5914 | ||
8f7028a8 KF |
5915 | 2008-11-19 Karl Fogel <kfogel@red-bean.com> |
5916 | ||
5917 | * bookmark.el (bookmark-jump-noselect): Move the deprecation | |
5918 | notice into the doc string, following up to 2008-10-29T17:42:49Z!cyd@stupidchicken.com. | |
5919 | ||
a3610f0c LK |
5920 | 2008-11-19 Lute Kamstra <lute@gnu.org> |
5921 | ||
5922 | * autoinsert.el (auto-insert-alist): Don't use match data. | |
5923 | ||
ec302593 GM |
5924 | 2008-11-19 Glenn Morris <rgm@gnu.org> |
5925 | ||
68fcc3ec GM |
5926 | * progmodes/f90.el (f90-mode-map): Add some menu :help. |
5927 | ||
ec302593 GM |
5928 | * autoinsert.el (auto-insert-alist): Update template to FDL 1.3+. |
5929 | ||
5930 | * emacs-lisp/cl.el (floor*, ceiling*, truncate*, round*, mod*): | |
5931 | * term/w32-win.el (x-select-font): Declare. | |
5932 | ||
5933 | * emacs-lisp/lisp-mode.el (emacs-lisp-mode-map): Fix a/an typo. | |
5934 | Use the imperative for all help strings. | |
5935 | ||
35b05a77 SM |
5936 | 2008-11-18 Stefan Monnier <monnier@iro.umontreal.ca> |
5937 | ||
a1e34e71 SM |
5938 | * textmodes/ispell.el (ispell-dictionary-base-alist): Adjust to the |
5939 | case where the default dictionary is French, Spanish, younameit. | |
5940 | ||
a3610f0c LK |
5941 | * window.el (window--frame-usable-p): Consider dedicated windows |
5942 | as usable. | |
064e57de | 5943 | |
35b05a77 SM |
5944 | * files.el (confirm-nonexistent-file-or-buffer): |
5945 | Rename from find-file-confirm-nonexistent-file. | |
5946 | (read-buffer-to-switch): Use it. | |
5947 | ||
a77ad240 JB |
5948 | 2008-11-18 Juanma Barranquero <lekktu@gmail.com> |
5949 | ||
5950 | * server.el (server-temp-file-p): Use `string-match-p'. | |
5951 | (server-socket-dir): Set to nil if local sockets are unsupported. | |
5952 | (server-start): Don't try to delete local socket if unsupported. | |
5953 | (server-kill-new-buffers): Reflow docstring. | |
5954 | (server-delete-client, server-handle-delete-frame) | |
5955 | (server-save-buffers-kill-terminal): Doc fixes. | |
5956 | (server-send-string): Fix typo in docstring. | |
5957 | ||
dd93e6da SS |
5958 | 2008-11-18 Sam Steingold <sds@gnu.org> |
5959 | ||
5960 | * progmodes/compile.el (compilation-start-hook): New hook. | |
5961 | (compilation-start): Run it on the compilation process. | |
5962 | ||
d0d8885d JB |
5963 | 2008-11-18 Juanma Barranquero <lekktu@gmail.com> |
5964 | ||
5965 | * international/mule-cmds.el (update-leim-list-file): Simplify. | |
5966 | (prefer-coding-system, find-coding-systems-for-charsets): | |
5967 | Fix docstring typos. | |
5968 | (select-safe-coding-system, input-method-exit-on-first-char) | |
5969 | (language-info-alist, language-info-custom-alist): Reflow docstrings. | |
5970 | (toggle-input-method, read-multilingual-string): Doc fixes. | |
5971 | Reflow docstrings. | |
5972 | (locale-name-match, sort-coding-systems, find-multibyte-characters) | |
5973 | (read-char-by-name): Use `string-match-p'. | |
5974 | (get-char-code-property, put-char-code-property) | |
5975 | (char-code-property-description): Be silent while loading uni-* files. | |
5976 | (ucs-names): Use `dotimes-with-progress-reporter', because loading | |
5977 | the Unicode character names is *slow*. | |
5978 | ||
e89e92b1 CY |
5979 | 2008-11-17 Chong Yidong <cyd@stupidchicken.com> |
5980 | ||
58caa5cf CY |
5981 | * wid-edit.el (widget-image-insert): Don't add a mouse-face. |
5982 | ||
d0d8885d | 5983 | * cus-edit.el (custom-group-value-create): Don't insert docstring twice. |
e89e92b1 | 5984 | |
bdf66e8d JB |
5985 | 2008-11-17 Juanma Barranquero <lekktu@gmail.com> |
5986 | ||
5987 | * international/mule-cmds.el (ucs-completions): Mark as risky. | |
5988 | ||
a0c859f0 MR |
5989 | 2008-11-17 Martin Rudalics <rudalics@gmx.at> |
5990 | ||
6c73d986 MR |
5991 | * help-macro.el (three-step-help): Remove * from defcustom. |
5992 | (make-help-screen): Don't iconify selected frame. (Bug#1322) | |
5993 | Use when instead of if and cond within condition-case. | |
5994 | ||
a0c859f0 MR |
5995 | * window.el (quit-window): Bind window to selected window when |
5996 | trying to delete it. | |
5997 | ||
79f0fbcf GM |
5998 | 2008-11-17 Glenn Morris <rgm@gnu.org> |
5999 | ||
6000 | * progmodes/cc-defs.el (c-put-char-property-fun): Add fallback | |
6001 | definition of 'ignore, rather than nil. (Bug#1353) | |
6002 | ||
4afba819 SM |
6003 | 2008-11-17 Stefan Monnier <monnier@iro.umontreal.ca> |
6004 | ||
6005 | * window.el (window--frame-usable-p): Allow use for soft-dedication. | |
6006 | ||
5626c14e JB |
6007 | 2008-11-17 Juanma Barranquero <lekktu@gmail.com> |
6008 | ||
062552e7 JB |
6009 | * emulation/viper-cmd.el (viper-harness-minor-mode): Fix docstring typo. |
6010 | ||
5626c14e JB |
6011 | * simple.el (quoted-insert, minibuffer-history-isearch-pop-state, undo) |
6012 | (undo-only, rotate-yank-pointer, kill-whole-line, push-mark-command) | |
6013 | (exchange-point-and-mark, set-goal-column, kill-line, backward-word) | |
6014 | (kill-word, backward-kill-word, zap-to-char): Doc fixes. | |
6015 | (shell-command, repeat-complex-command): Reflow docstrings. | |
6016 | (pop-to-mark-command): Fix typos in docstring. | |
6017 | ||
0eb5f40f JL |
6018 | 2008-11-17 Juri Linkov <juri@jurta.org> |
6019 | ||
6020 | * isearch.el (isearch-filter-predicate): Rename from | |
6021 | `isearch-success-function'. Rename default value from | |
6022 | `isearch-success-function-default' to | |
6023 | `isearch-filter-invisible'. Doc fix. | |
6024 | (isearch-filter-invisible): Rename from | |
6025 | `isearch-success-function-default'. Doc fix. | |
4afba819 SM |
6026 | (isearch-search, isearch-lazy-highlight-search): |
6027 | Rename `isearch-success-function' to `isearch-filter-predicate'. | |
0eb5f40f JL |
6028 | |
6029 | * dired-aux.el (dired-isearch-filter-predicate-orig): Rename from | |
6030 | `dired-isearch-orig-success-function'. | |
6031 | (dired-isearch-filenames-toggle, dired-isearch-filenames-setup) | |
6032 | (dired-isearch-filenames-end): Rename `isearch-success-function' to | |
6033 | `isearch-filter-predicate'. Doc fix. | |
6034 | (dired-isearch-filter-filenames): Rename from | |
6035 | `dired-isearch-success-function'. | |
6036 | ||
4afba819 SM |
6037 | * info.el (Info-search, Info-mode): |
6038 | Rename `isearch-success-function' to `isearch-filter-predicate'. | |
0eb5f40f JL |
6039 | (Info-isearch-filter-predicate): Rename from |
6040 | `Info-search-success-function'. | |
6041 | ||
6042 | * simple.el (minibuffer-default-add-function): Fix docstring to | |
6043 | use clear text "default values" instead of obscure references to | |
6044 | the dynamic variable `minibuffer-default'. | |
6045 | ||
f6542587 GM |
6046 | 2008-11-16 Glenn Morris <rgm@gnu.org> |
6047 | ||
ff757fd1 GM |
6048 | * term/ns-win.el (ns-extended-platform-support-mode): |
6049 | Tweak menu entry from previous change. | |
6050 | ||
60d61f43 GM |
6051 | * wid-edit.el (advertised-widget-backward): Remove autoload cookie. |
6052 | ||
f6542587 GM |
6053 | * cus-edit.el (custom-buffer-create-internal): Always insert the |
6054 | in-buffer buttons, regardless of tool-bar. (Bug#1326) | |
6055 | ||
71a00ac2 CY |
6056 | 2008-11-16 Chong Yidong <cyd@stupidchicken.com> |
6057 | ||
3889f0fa | 6058 | * subr.el (read-passwd): Use read-event instead of read-char-exclusive. |
71a00ac2 | 6059 | |
a535b26e SM |
6060 | 2008-11-16 Stefan Monnier <monnier@iro.umontreal.ca> |
6061 | ||
6062 | * ielm.el (ielm-eval-input): Fix thinko in last change. | |
6063 | ||
f5f895cf CY |
6064 | 2008-11-16 Chong Yidong <cyd@stupidchicken.com> |
6065 | ||
6066 | * subr.el (read-passwd): Yank current kill if the user enters C-y. | |
6067 | ||
2c5b3bdd MA |
6068 | 2008-11-16 Michael Albinus <michael.albinus@gmx.de> |
6069 | ||
6070 | * net/tramp.el (tramp-handle-file-name-as-directory): New defun. | |
6071 | (tramp-file-name-handler-alist): Handle `file-name-as-directory'. | |
6072 | ||
6073 | * net/tramp-fish.el (tramp-fish-file-name-handler-alist) | |
a535b26e SM |
6074 | * net/tramp-smb.el (tramp-smb-file-name-handler-alist): |
6075 | Handle `file-name-as-directory'. | |
2c5b3bdd | 6076 | |
57d221dc CY |
6077 | 2008-11-16 Chong Yidong <cyd@stupidchicken.com> |
6078 | ||
6079 | * startup.el (fancy-startup-text): Give "Emacs tutorial" line the | |
6080 | usual variable-pitch face. | |
6081 | ||
4671dba5 JB |
6082 | 2008-11-16 Juanma Barranquero <lekktu@gmail.com> |
6083 | ||
6084 | * net/ange-ftp.el (ange-ftp-multi-msgs, ange-ftp-good-msgs) | |
6085 | (ange-ftp-try-passive-mode, ange-ftp-data-buffer-name) | |
6086 | (ange-ftp-account-hashtable, ange-ftp-ls-cache-lsargs) | |
6087 | (ange-ftp-ls-cache-file, ange-ftp-ls-cache-res, ange-ftp-get-user) | |
6088 | (ange-ftp-ftp-name-component, ange-ftp-kill-ftp-process) | |
6089 | (ange-ftp-quote-string, ange-ftp-process-handle-line) | |
6090 | (ange-ftp-start-process, ange-ftp-send-cmd, ange-ftp-add-dumb-unix-host) | |
6091 | (ange-ftp-before-parse-ls-hook, ange-ftp-after-parse-ls-hook) | |
6092 | (ange-ftp-ls, ange-ftp-add-dl-dir, ange-ftp-get-file-entry) | |
6093 | (ange-ftp-set-binary-mode, ange-ftp-set-ascii-mode, ange-ftp-get-pwd) | |
6094 | (ange-ftp-file-name-as-directory-alist, ange-ftp-reread-dir) | |
6095 | (ange-ftp-vms-filename-regexp, ange-ftp-bs2000-fix-name-regexp-reverse) | |
6096 | (ange-ftp-bs2000-fix-name-regexp): Fix typos in docstrings. | |
6097 | (ange-ftp-name-format, ange-ftp-gateway-fatal-msgs) | |
6098 | (ange-ftp-xfer-size-msgs, ange-ftp-tmp-name-template) | |
6099 | (ange-ftp-netrc-filename, ange-ftp-disable-netrc-security-check) | |
6100 | (ange-ftp-default-user, ange-ftp-default-password) | |
6101 | (ange-ftp-default-account, ange-ftp-netrc-default-password) | |
6102 | (ange-ftp-netrc-default-account, ange-ftp-dumb-unix-host-regexp) | |
6103 | (ange-ftp-binary-file-name-regexp, ange-ftp-gateway-host) | |
6104 | (ange-ftp-gateway-prompt-pattern, ange-ftp-smart-gateway-port) | |
6105 | (ange-ftp-send-hash, ange-ftp-binary-hash-mark-size) | |
6106 | (ange-ftp-ascii-hash-mark-size, ange-ftp-process-verbose) | |
6107 | (ange-ftp-ftp-program-name, ange-ftp-gateway-ftp-program-name) | |
6108 | (ange-ftp-ftp-program-args, ange-ftp-nslookup-program) | |
6109 | (ange-ftp-make-backup-files, ange-ftp-retry-time) | |
6110 | (ange-ftp-bs2000-special-prefix): Remove * from defcustom docstrings. | |
6111 | (ange-ftp-skip-msgs, ange-ftp-potential-error-msgs) | |
6112 | (ange-ftp-gateway-tmp-name-template) | |
6113 | (ange-ftp-generate-anonymous-password, ange-ftp-local-host-regexp) | |
6114 | (ange-ftp-gateway-program-interactive, ange-ftp-smart-gateway) | |
6115 | (ange-ftp-raw-login): Remove * from defcustom docstrings; fix typos. | |
6116 | (ange-ftp-fatal-msgs): Remove * from defcustom docstring; doc fix. | |
6117 | (ange-ftp-gateway-program): Remove * from docstring and reflow. | |
6118 | (ange-ftp-hash-entry-exists-p, ange-ftp-hash-table-keys) | |
6119 | (ange-ftp-raw-send-cmd, ange-ftp-get-files, ange-ftp-canonize-filename) | |
6120 | (ange-ftp-file-name-as-directory, ange-ftp-directory-file-name): | |
6121 | (ange-ftp-copy-files-async, ange-ftp-rename-remote-to-remote): | |
6122 | (ange-ftp-rename-local-to-remote): Doc fixes. | |
6123 | (ange-ftp-set-xfer-size, ange-ftp-call-cont, ange-ftp-process-filter): | |
6124 | Use `when', `unless'. | |
6125 | (ange-ftp-set-passwd): Rename arg PASSWD to PASSWORD. | |
6126 | (ange-ftp-process-handle-hash): Rename arg STR to STRING. | |
6127 | (ange-ftp-nslookup-host): Rename arg HOST to HOSTNAME. | |
6128 | (ange-ftp-smart-login): Rename arg PASS to PASSWORD. | |
6129 | (ange-ftp-normal-login): Rename arg PASS to PASSWORD. Fix typo. | |
6130 | (ange-ftp-process-sentinel): Use `when'. Fix typo. | |
6131 | (ange-ftp-gwp-start): Use `let', not `let*'; use `when'. Fix typo. | |
6132 | (ange-ftp-fix-name-func-alist, ange-ftp-fix-dir-name-func-alist) | |
6133 | (ange-ftp-parse-list-func-alist, ange-ftp-add-file-entry-alist) | |
6134 | (ange-ftp-delete-file-entry-alist): Fix typos and reflow docstring. | |
6135 | (ange-ftp-dumb-unix-host, ange-ftp-binary-file) | |
6136 | (ange-ftp-directory-files, ange-ftp-file-modtime, ange-ftp-vms-host) | |
6137 | (ange-ftp-mts-host, ange-ftp-cms-host, ange-ftp-bs2000-host) | |
6138 | (ange-ftp-bs2000-posix-host): Use `string-match-p' instead of | |
6139 | `(save-match-data (string-match ...))'. | |
6140 | (ange-ftp-use-gateway-p, ange-ftp-use-smart-gateway-p) | |
6141 | (ange-ftp-file-name-directory, ange-ftp-file-name-nondirectory): | |
6142 | Use `string-match-p' instead of `(save-match-data (string-match ...))'. | |
6143 | Doc fixes. | |
6144 | ||
42acc581 | 6145 | 2008-11-16 Michael Kifer <kifer@cs.stonybrook.edu> |
4923f0c6 JB |
6146 | |
6147 | * emulation/viper-init.el (viper-ESC-keyseq-timeout): Make it into a | |
6148 | function instead of a variable. | |
6149 | ||
3889f0fa | 6150 | * emulation/viper-util.el (viper-fast-keysequence-p): Change to use |
42acc581 MK |
6151 | viper-ESC-keyseq-timeout as a function. |
6152 | (viper-get-saved-cursor-color-in-replace-mode): Use defun instead of | |
6153 | defsubst. | |
4923f0c6 JB |
6154 | |
6155 | * emulation/viper-cmd.el: Let line-move-visual to nil when using | |
6156 | next-line or previous-line. | |
42acc581 | 6157 | (viper-R-state-post-command-sentinel): Use defun instead of defsubst. |
4923f0c6 JB |
6158 | |
6159 | * emulation/viper-keym.el (viper-ESC-key): Use (kbd "ESC"). | |
6160 | ||
42acc581 MK |
6161 | * ediff-util.el (ediff-toggle-multiframe): Check if control window is |
6162 | live. | |
6163 | (ediff-save-buffer): Let window-min-height to 2. | |
4923f0c6 | 6164 | |
42acc581 MK |
6165 | * ediff-wind.el (ediff-setup-windows-plain-compare): Avoid selecting |
6166 | the minibuffer. | |
4923f0c6 | 6167 | |
61c11870 JB |
6168 | 2008-11-16 Juanma Barranquero <lekktu@gmail.com> |
6169 | ||
6170 | * ielm.el (ielm-noisy, ielm-dynamic-return, ielm-mode-hook) | |
6171 | (ielm-dynamic-multiline-inputs): Remove * from defcustom docstrings. | |
6172 | (ielm-prompt-read-only, ielm-font-lock-keywords): Fix docstring typos. | |
6173 | (ielm-map): Define within defvar. Add docstring. | |
6174 | (ielm-tab, ielm-eval-input, ielm-get-old-input, ielm-return) | |
6175 | (ielm-complete-filename): Use `when', `unless'. | |
6176 | (ielm-is-whitespace-or-comment): Use `string-match-p'. | |
6177 | (ielm-return, ielm-get-old-input): Use `looking-at-p'. | |
6178 | (ielm-change-working-buffer): Check that the buffer is live. | |
6179 | (inferior-emacs-lisp-mode): Define with `define-derived-mode'. | |
6180 | Simplify. Reflow docstring. | |
6181 | (inferior-emacs-lisp-mode-hook, inferior-emacs-lisp-mode-map): | |
6182 | New aliases, to satisfy `define-derived-mode' expectations. | |
6183 | ||
43ae2c48 | 6184 | 2008-11-15 Glenn Morris <rgm@gnu.org> |
70403f65 | 6185 | Martin Rudalics <rudalics@gmx.at> |
f5e7fddd GM |
6186 | |
6187 | * emacs-lisp/find-func.el (find-function-advised-original): New. | |
6188 | (find-function-C-source, find-function-noselect): | |
6189 | Use find-function-advised-original to handle advised funcs. (Bug#789) | |
6190 | ||
6191 | 2008-11-15 Glenn Morris <rgm@gnu.org> | |
6192 | ||
6193 | * emacs-lisp/find-func.el (find-function-noselect): Add missing "is" in | |
6194 | alias message. | |
43ae2c48 GM |
6195 | |
6196 | * uniquify.el (uniquify-maybe-rerationalize-w/o-cb): | |
6197 | Remove uniquify-after-kill-buffer-p dependency. | |
6198 | (uniquify-kill-buffer-function): New function. | |
6199 | (kill-buffer-hook): Use uniquify-kill-buffer-function. (Bug#1315) | |
6200 | ||
6201 | * term/ns-win.el (ns-do-applescript, ns-popup-font-panel): Declare. | |
6202 | (ns-show-manual, menu-bar-help-menu): Update for merge of ns-emacs into | |
6203 | main Emacs manual. | |
6204 | ||
f4f45fc5 MR |
6205 | 2008-11-15 Martin Rudalics <rudalics@gmx.at> |
6206 | ||
6207 | * window.el (quit-window): Restore delete window with second | |
6208 | arg non-nil behavior as of before 2008-10-30 change. | |
6209 | ||
2a7e89ea CY |
6210 | 2008-11-15 Chong Yidong <cyd@stupidchicken.com> |
6211 | ||
6212 | * help-fns.el (describe-function-1): Do char-range check for | |
6213 | self-insert-command. | |
6214 | ||
dab71b2c KF |
6215 | 2008-11-14 Karl Fogel <kfogel@red-bean.com> |
6216 | ||
61c11870 | 6217 | * files.el (file-precious-flag): Document that this flag is advisory. |
dab71b2c | 6218 | |
0d496d49 CY |
6219 | 2008-11-14 Shigeru Fukaya <shigeru.fukaya@gmail.com> |
6220 | ||
6221 | * emacs-lisp/bytecomp.el (byte-compile-associative) | |
6222 | (byte-compile-minus, byte-compile-quo): If there are more than two | |
6223 | operands, don't use binary operation code (bug#1334). | |
6224 | ||
8fc29035 JB |
6225 | 2008-11-14 Juanma Barranquero <lekktu@gmail.com> |
6226 | ||
6227 | * w32-fns.el (w32-shell-dos-semantics): | |
6228 | * calendar/diary-lib.el (diary-face-attrs): | |
6229 | * international/mule-cmds.el (set-default-coding-systems) | |
6230 | (prefer-coding-system): | |
6231 | * net/tramp.el (tramp-set-completion-function): | |
6232 | * progmodes/vhdl-mode.el (vhdl-file-header): | |
6233 | * term/pc-win.el (msdos-show-help): Fix typos in docstrings. | |
6234 | ||
6235 | * emacs-lisp/authors.el (authors-fixed-entries): Fix typo in value. | |
6236 | ||
6237 | * files.el (enable-local-eval, not-modified, kill-buffer-ask) | |
6238 | (kill-matching-buffers, save-buffers-kill-emacs) | |
6239 | (save-buffers-kill-terminal): Fix typos in docstrings. | |
6240 | (switch-to-buffer-other-window): Reflow docstring. | |
6241 | (revert-buffer): Doc fix. | |
6242 | (define-project-bindings): Rename arg LIST to SETTINGS. | |
6243 | (project-find-settings-file): Use `let', not `let*'. | |
6244 | Use `when'. | |
6245 | ||
09949b83 JB |
6246 | 2008-11-13 Juanma Barranquero <lekktu@gmail.com> |
6247 | ||
6248 | * files.el (project-settings-file, locate-dominating-file): | |
6249 | Fix typos in docstrings. | |
6250 | (find-file-visit-truename): Remove * from defcustom docstring. | |
6251 | ||
40d5e695 | 6252 | 2008-11-13 T. V. Raman <raman@users.sourceforge.net> (tiny change) |
f30efa7c CY |
6253 | |
6254 | * files.el (project-settings-file): New variable. | |
6255 | (project-find-settings-file): Use it, instead of hardcoding | |
6256 | .dir.settings.el. | |
6257 | ||
b2c99afe GM |
6258 | 2008-11-13 Glenn Morris <rgm@gnu.org> |
6259 | ||
6260 | * nxml/nxml-enc.el: Remove some charset code not needed since Emacs 22. | |
6261 | ||
29c2869d GM |
6262 | 2008-11-13 Henry Weller <hweller0@gmail.com> (tiny change) |
6263 | ||
6264 | * net/mairix.el (mairix-widget-fields-list): Fix bug regarding searching | |
6265 | in mail bodies. | |
6266 | ||
f81b2db1 JB |
6267 | 2008-11-12 Juanma Barranquero <lekktu@gmail.com> |
6268 | ||
6269 | * international/mule.el (autoload-coding-system, with-category-table) | |
6270 | (ctext-non-standard-encodings-alist): Fix typos in docstrings. | |
6271 | (coding-system-equal, set-buffer-process-coding-system): Doc fixes. | |
6272 | (set-buffer-file-coding-system, revert-buffer-with-coding-system) | |
6273 | (set-file-name-coding-system, ctext-pre-write-conversion) | |
6274 | (auto-coding-functions, find-auto-coding, modify-coding-system-alist): | |
6275 | Reflow docstrings. | |
6276 | (charset-list, set-coding-priority): Remove redundant obsolescence info. | |
6277 | (charset-id, charset-bytes, make-coding-system): Add obsolescence info. | |
6278 | (char-valid-p): Define with `define-obsolete-function-alias'. | |
6279 | ||
6280 | * textmodes/artist.el (artist-mode): Fix typos in docstring. | |
6281 | ||
05342dca SS |
6282 | 2008-11-12 Sam Steingold <sds@gnu.org> |
6283 | ||
6284 | * vc-cvs.el (vc-cvs-parse-root): Handle roots without colon | |
6285 | between hostname and path. | |
6286 | ||
978d723e JL |
6287 | 2008-11-11 Juri Linkov <juri@jurta.org> |
6288 | ||
6289 | * dired-aux.el (dired-isearch-filenames) | |
6290 | (dired-isearch-filenames-regexp): | |
6291 | Let-bind isearch-message-prefix-add to "filename ". | |
6292 | ||
6293 | * isearch.el (isearch-message-prefix-add) | |
6294 | (isearch-message-suffix-add): New variables. | |
6295 | (isearch-message-prefix): Show additional text from | |
6296 | `isearch-message-prefix-add' before "I-search". | |
6297 | (isearch-message-suffix): Show additional text from | |
6298 | `isearch-message-suffix-add' at the end. | |
6299 | ||
6300 | * isearch.el (isearch-lazy-highlight-search): Use a loop like in | |
6301 | `isearch-search'. Call isearch-success-function to skip matches | |
6302 | outside the current isearch scope. Let-bind search-invisible to | |
6303 | nil to not match invisible text. | |
6304 | ||
6305 | * isearch.el (isearch-query-replace, isearch-highlight-regexp): | |
6306 | Let-bind `isearch-recursive-edit' to nil to prevent calling | |
6307 | `exit-recursive-edit' in `isearch-done' that terminates the | |
6308 | execution of these commands when it is non-nil. Call | |
6309 | `exit-recursive-edit' explicitly at the end. | |
6310 | (isearch-occur): For word search construct the correct regexp | |
6311 | with word boundaries to proceed with occur. | |
6312 | ||
6313 | * isearch.el (isearch-search-fun): Compare the length of the | |
6314 | current search string with the length of the string from the | |
6315 | previous search state to detect the situation when the user | |
6316 | adds or removes characters in the search string. | |
6317 | Use word-search-forward-lax and word-search-backward-lax in this | |
6318 | case, and otherwise word-search-forward and word-search-backward. | |
6319 | ||
f399e4ad SS |
6320 | 2008-11-11 Sam Steingold <sds@gnu.org> |
6321 | ||
6322 | * mouse.el (mouse-buffer-menu-mode-groups): Place "Annotate" into | |
6323 | the "Version Control" group (for C-x v g). | |
6324 | ||
909049cb JB |
6325 | 2008-11-11 Juanma Barranquero <lekktu@gmail.com> |
6326 | ||
6327 | * server.el (server-process-filter): Fix typos and reflow docstring. | |
6328 | ||
2c4ac069 MR |
6329 | 2008-11-11 Martin Rudalics <rudalics@gmx.at> |
6330 | ||
6331 | * mail/footnote.el (footnote-mode): Improve doc-string. | |
6332 | Suggested by <jidanni@jidanni.org>. (Bug#1318) | |
6333 | ||
4759d8bd CY |
6334 | 2008-11-11 Chong Yidong <cyd@stupidchicken.com> |
6335 | ||
6336 | * cus-edit.el (Custom-mode): Set up tool-bar-map unconditionally. | |
6337 | ||
373f0312 TZ |
6338 | 2008-11-10 Teodor Zlatanov <tzz@lifelogs.com> |
6339 | ||
6340 | * mail/smtpmail.el (smtpmail-open-stream): Use | |
863e372f | 6341 | `starttls-any-program-available'. Auto-load starttls.el for it. |
373f0312 | 6342 | |
7ae105c8 CY |
6343 | 2008-11-08 Chong Yidong <cyd@stupidchicken.com> |
6344 | ||
6345 | * dired.el (dired-read-dir-and-switches): Revert to 2007-11-22 | |
6346 | version (bug@1285). | |
6347 | ||
5463218c EZ |
6348 | 2008-11-08 Eli Zaretskii <eliz@gnu.org> |
6349 | ||
6350 | * startup.el (command-line): Ignore init-file-user when checking | |
6351 | user's home directory on MS-DOS as well. | |
6352 | ||
3f71582d SS |
6353 | 2008-11-07 Sam Steingold <sds@gnu.org> |
6354 | ||
6355 | * progmodes/cc-cmds.el (c-defun-name): Fix CLISP DEFUN handling. | |
6356 | ||
69135f12 JB |
6357 | 2008-11-07 Juanma Barranquero <lekktu@gmail.com> |
6358 | ||
6359 | * frame.el (make-frame-on-display): Use `string-match-p'. | |
6360 | ||
a0b66ce0 GM |
6361 | 2008-11-07 Glenn Morris <rgm@gnu.org> |
6362 | ||
6363 | * progmodes/cc-defs.el (cl-macroexpand-all): Fix declaration. | |
6364 | ||
94686171 DN |
6365 | 2008-11-07 Dan Nicolaescu <dann@ics.uci.edu> |
6366 | ||
6367 | * emacs-lisp/lisp-mode.el (lisp-mode-variables): Add new argument | |
6368 | to initialize font-lock keyword case sensitivity. | |
6369 | (lisp-mode): Use it. | |
6370 | ||
ee108e88 GM |
6371 | 2008-11-06 Glenn Morris <rgm@gnu.org> |
6372 | ||
c108d549 GM |
6373 | * eshell/esh-maint.el: Remove unused file. |
6374 | ||
6375 | * progmodes/cc-defs.el (cl-macroexpand-all): Declare. | |
6376 | ||
ee108e88 GM |
6377 | * cus-edit.el (custom-comment-tag): Doc fix. |
6378 | (custom-comment, custom-variable-value-create, custom-face): | |
6379 | Use face names sans -face suffix rather than aliases. | |
6380 | ||
a2baa908 JB |
6381 | 2008-11-05 Jay Belanger <jay.p.belanger@gmail.com> |
6382 | ||
6383 | * calc/calc.el (calc-quit): Use `window-full-width-p' to check | |
6384 | window width. | |
6385 | ||
610a5df3 CY |
6386 | 2008-11-05 Chong Yidong <cyd@stupidchicken.com> |
6387 | ||
6388 | * server.el (server-process-filter): Only default to emacsclient's | |
6389 | terminal in daemon mode. | |
6390 | ||
f417bc0a MR |
6391 | 2008-11-05 Martin Rudalics <rudalics@gmx.at> |
6392 | ||
6393 | * window.el (quit-window): Restore prefix argument behavior | |
6394 | removed in 2008-10-30 change. (Bug#1308) | |
6395 | ||
f1809341 TH |
6396 | 2008-11-05 Tassilo Horn <tassilo@member.fsf.org> |
6397 | ||
6398 | * doc-view.el (doc-view-mode): Bugfix: Add conversion killing | |
6399 | function to kill-buffer-hook, not to kill-buffer. | |
6400 | Reported by Markus Triska <markus.triska@gmx.at> | |
6401 | ||
bc6922de JB |
6402 | 2008-11-04 Jay Belanger <jay.p.belanger@gmail.com> |
6403 | ||
6404 | * calc/calc.el (calc-quit): Make sure that the keypad buffer | |
6405 | exists before deleting its windows. | |
6406 | ||
aab520e5 CY |
6407 | 2008-11-04 Chong Yidong <cyd@stupidchicken.com> |
6408 | ||
6409 | * server.el (server-process-filter): Don't call | |
6410 | server-create-tty-frame when no tty name is specified. | |
6411 | ||
74c76008 CY |
6412 | 2008-11-04 Christian Faulhammer <opfer@gentoo.org> (tiny change) |
6413 | ||
6414 | * vc-bzr.el (vc-bzr-dir-extra-headers): New function. | |
6415 | ||
49275d55 JB |
6416 | 2008-11-04 Juanma Barranquero <lekktu@gmail.com> |
6417 | ||
6418 | * international/mule-cmds.el (toggle-enable-multibyte-characters) | |
6419 | (define-char-code-property): | |
6420 | * textmodes/reftex.el (reftex-index): | |
6421 | * textmodes/reftex-index.el (reftex-index): Fix typos in docstrings. | |
6422 | ||
033b3b68 CY |
6423 | 2008-11-04 Chong Yidong <cyd@stupidchicken.com> |
6424 | ||
74c76008 CY |
6425 | * vc-bzr.el (vc-bzr-dir-printer): Rename from |
6426 | vc-bzr-status-printer. | |
6427 | ||
bd98d0e3 CY |
6428 | * startup.el (startup-echo-area-message): Display a different |
6429 | message in daemon mode. Suggested by Stephen Turnbull. | |
6430 | ||
033b3b68 CY |
6431 | * frame.el (make-frame-on-display): Ignore DISPLAY argument on |
6432 | Windows. | |
6433 | ||
ca68aad8 JB |
6434 | 2008-11-04 Juanma Barranquero <lekktu@gmail.com> |
6435 | ||
6436 | * dframe.el (dframe-mouse-position-function) | |
6437 | (dframe-reposition-frame-emacs, dframe-pass-event-to-popup-mode-menu) | |
6438 | (dframe-mouse-set-point): Fix typos in docstrings. | |
6439 | ||
a7200025 DN |
6440 | 2008-11-04 Dan Nicolaescu <dann@ics.uci.edu> |
6441 | ||
6442 | * vc-dir.el (vc-dir-headers): Undo previous change. | |
6443 | ||
a7cb7fd2 NR |
6444 | 2008-11-04 Nick Roberts <nickrob@snap.net.nz> |
6445 | ||
6446 | * vc-cvs.el (vc-cvs-dir-extra-headers): Add tag name to header. | |
6447 | * vc-dir.el (vc-dir-headers): Order headers as in PCL-CVS. | |
6448 | ||
ee434cb1 MR |
6449 | 2008-11-04 Martin Rudalics <rudalics@gmx.at> |
6450 | ||
3dcde186 MR |
6451 | * subr.el (looking-back): Fix doc-string typo. |
6452 | ||
f6bfcbb4 MR |
6453 | * electric.el (Electric-pop-up-window): Call window-end with |
6454 | UPDATE set to t. | |
6455 | ||
ee434cb1 MR |
6456 | * window.el (save-selected-window): Make it behave like |
6457 | save-selected-window-norecord. | |
6458 | (save-selected-window-norecord): Remove, since functionality | |
6459 | fully taken over by save-selected-window. | |
6460 | (walk-windows, set-window-text-height, fit-window-to-buffer): | |
6461 | Use save-selected-window instead of save-selected-window-norecord. | |
c81ca8d8 MR |
6462 | * font-lock.el (lisp-font-lock-keywords-2): Remove entry for |
6463 | save-selected-window-norecord. | |
6464 | * emacs-lisp/lisp-mode.el (save-selected-window): Remove | |
6465 | indent-function for save-selected-window-norecord. | |
ee434cb1 | 6466 | |
ca4ea39f AM |
6467 | 2008-11-03 Alan Mackenzie <acm@muc.de> |
6468 | ||
6469 | * progmodes/cc-engine.el (c-forward-label): Fix for QT macros. | |
6470 | ||
de4ebfdb CY |
6471 | 2008-11-03 Chong Yidong <cyd@stupidchicken.com> |
6472 | ||
02f286cd CY |
6473 | * subr.el (looking-back): Doc fix. |
6474 | ||
ca68aad8 JB |
6475 | * term/x-win.el (x-initialize-window-system): |
6476 | Call x-wm-set-size-hint after initialization. | |
3021ce72 | 6477 | |
b49912b2 CY |
6478 | * eshell/em-hist.el (eshell-save-history-on-exit): Change default |
6479 | to t. | |
6480 | ||
de4ebfdb CY |
6481 | * emacs-lisp/lisp-mode.el (lisp-mode): Remove bogus change from |
6482 | 2000-12-08 (bug#1297). | |
6483 | ||
6484 | * font-lock.el (font-lock-keywords-case-fold-search): Doc fix. | |
6485 | ||
df802986 JB |
6486 | 2008-11-03 Vincent Belaïche <vincent.b.1@hotmail.fr> |
6487 | ||
6488 | * calc/calc-alg.el (calcFunc-collect): Return constant polynomial | |
6489 | when appropriate. | |
6490 | ||
cbd0f686 MR |
6491 | 2008-11-02 Martin Rudalics <rudalics@gmx.at> |
6492 | ||
ca68aad8 | 6493 | * window.el (save-selected-window-norecord): New macro. |
cbd0f686 MR |
6494 | (walk-windows): Use save-selected-window-norecord and call |
6495 | select-window with NORECORD set. (Bug#1237) | |
ca68aad8 JB |
6496 | (set-window-text-height, fit-window-to-buffer): |
6497 | Use save-selected-window-norecord and call select-window with | |
cbd0f686 MR |
6498 | NORECORD set. |
6499 | * subr.el (with-selected-window): Call set-frame-selected-window | |
6500 | with new argument NORECORD set. Update doc-string. | |
6501 | (with-selected-frame): Call select-frame with new argument | |
6502 | NORECORD set. Update doc-string. | |
ca68aad8 JB |
6503 | * emacs-lisp/lisp-mode.el (save-selected-window-norecord): |
6504 | Put indent-function for save-selected-window-norecord. | |
6505 | * font-lock.el (lisp-font-lock-keywords-2): | |
6506 | Add save-selected-window-norecord. | |
cbd0f686 | 6507 | |
6cda144f JB |
6508 | 2008-11-01 Juanma Barranquero <lekktu@gmail.com> |
6509 | ||
6510 | * cus-edit.el (customize-apropos-options, custom-comment) | |
6511 | (custom-comment-tag, custom-face-edit-attribute-tag): | |
6512 | Fix typos in docstrings. | |
6513 | (custom-buffer-done-kill): Remove * from defcustom docstring. | |
6514 | (custom-file): Fix typo in doc of defcustom choice. | |
6515 | ||
6516 | * frame.el (display-visual-class): Fix typo in docstring. | |
6517 | (initial-frame-alist, minibuffer-frame-alist, pop-up-frame-alist) | |
6518 | (special-display-frame-alist, show-trailing-whitespace) | |
6519 | (auto-hscroll-mode, blink-cursor-delay, blink-cursor-interval) | |
6520 | (display-hourglass, hourglass-delay, cursor-in-non-selected-windows): | |
6521 | Remove * from defcustom docstrings. | |
6522 | ||
6523 | * md4.el (md4-buffer): Fix typo in docstring. | |
6524 | (md4, md4-64): Doc fixes. | |
6525 | (md4-pack-int32): Reflow docstring. | |
6526 | ||
6527 | * paths.el (rmail-file-name): Remove * from defcustom docstring. | |
6528 | (prune-directory-list, gnus-nntp-service): Fix typos in docstrings. | |
6529 | ||
6530 | * rect.el (open-rectangle): Reflow docstring. | |
6531 | (spaces-string): Fix docstring typo. Use "?\s" instead of "? ". | |
6532 | ||
6533 | * select.el (x-get-cut-buffer): Fix typo in docstring. | |
6534 | ||
6535 | * timezone.el (timezone-zone-to-minute, timezone-time-from-absolute) | |
6536 | (timezone-time-zone-from-absolute, timezone-leap-year-p): | |
6537 | Fix typos in docstrings. | |
6538 | ||
6539 | * emacs-lisp/assoc.el (asort, aelement, aput, aget, amake): | |
6540 | Fix typos in docstrings. | |
6541 | ||
c9aafaaf UJ |
6542 | 2008-10-31 Ulf Jasper <ulf.jasper@web.de> |
6543 | ||
5c979e02 | 6544 | * net/newst-backend.el (newsticker--cache-update): Fix no-save case. |
c9aafaaf UJ |
6545 | |
6546 | * net/newst-treeview.el (newsticker--treeview-list-update-faces) | |
6547 | (newsticker--treeview-list-update, newsticker--treeview-item-show) | |
6548 | (newsticker--treeview-tree-update) | |
6549 | (newsticker--treeview-tree-update-highlight) | |
6550 | (newsticker-treeview-update) | |
6551 | (newsticker-treeview-next-item, newsticker-treeview-prev-item) | |
6552 | (newsticker-treeview-next-new-or-immortal-item) | |
6553 | (newsticker-treeview-prev-new-or-immortal-item) | |
6554 | (newsticker-treeview-next-feed, newsticker-treeview-prev-feed) | |
6555 | (newsticker-treeview-tree-click): Watch for layout changes. | |
6556 | (newsticker--treeview-set-current-node): Cleanup. | |
5c979e02 | 6557 | (newsticker--treeview-restore-layout): Rename from |
c9aafaaf UJ |
6558 | newsticker--treeview-restore-buffers. |
6559 | (newsticker--treeview-mark-item): Update cache file. | |
6560 | ||
ca2d101f UJ |
6561 | 2008-10-31 Ulf Jasper <ulf.jasper@web.de> |
6562 | ||
6563 | * calendar/icalendar.el (icalendar--uid-count): New. | |
5c979e02 | 6564 | (icalendar--create-uid): New. Extracted from |
ca2d101f UJ |
6565 | `icalendar-export-region' and add uid counter. |
6566 | (icalendar-export-region): Use `icalendar--create-uid'. | |
6567 | ||
38df7d21 JB |
6568 | 2008-10-31 Juanma Barranquero <lekktu@gmail.com> |
6569 | ||
6570 | * tooltip.el (tooltip-frame-parameters): Doc fix. | |
6571 | ||
5c17a891 MR |
6572 | 2008-10-31 Martin Rudalics <rudalics@gmx.at> |
6573 | ||
6574 | * window.el (window-body-height, window-current-scroll-bars) | |
6575 | (walk-windows, get-window-with-predicate, get-buffer-window-list) | |
6576 | (minibuffer-window-active-p, count-windows) | |
6577 | (window-safely-shrinkable-p, window--splittable-p) | |
6578 | (window--try-to-split-window, window--frame-usable-p) | |
6579 | (window--even-window-heights, window--display-buffer-1) | |
6580 | (window--display-buffer-2, set-window-text-height) | |
6581 | (fit-window-to-buffer, shrink-window-if-larger-than-buffer) | |
6582 | (truncated-partial-width-window-p): Rewrite doc-string. | |
6583 | (window-fixed-size-p): Simplify code. Rewrite doc-string. | |
6584 | (split-window-vertically, split-window-horizontally): | |
6585 | Rename args, rewrite doc-string, and simplify code. | |
6586 | (split-window-save-restore-data): Rename args and use | |
6587 | when instead of if. | |
6588 | ||
e9048e4f CY |
6589 | 2008-10-30 Chong Yidong <cyd@stupidchicken.com> |
6590 | ||
decaba00 CY |
6591 | * indent.el (indent-for-tab-command): Use use-region-p. |
6592 | ||
e9048e4f CY |
6593 | * vc.el (vc-revert): Limit the length of the query string. |
6594 | ||
1d2b0303 JB |
6595 | 2008-10-30 Juanma Barranquero <lekktu@gmail.com> |
6596 | ||
6597 | * simple.el (idle-update-delay, next-error-highlight) | |
6598 | (next-error-highlight-no-select, next-error-recenter, next-error-hook) | |
6599 | (minibuffer-history-case-insensitive-variables, kill-ring-max) | |
6600 | (kill-read-only-ok, backward-delete-char-untabify-method) | |
6601 | (kill-whole-line, mark-ring-max, global-mark-ring-max) | |
6602 | (set-mark-command-repeat-pop, next-line-add-newlines, track-eol) | |
6603 | (goal-column, line-move-ignore-invisible, fill-prefix) | |
6604 | (auto-fill-inhibit-regexp, blink-matching-paren) | |
6605 | (blink-matching-paren-on-screen, blink-matching-paren-distance) | |
6606 | (blink-matching-delay, blink-matching-paren-dont-ignore-comments) | |
6607 | (read-mail-command, mail-user-agent): Remove * in defcustom docstrings. | |
6608 | (minibuffer-history-isearch-wrap, transient-mark-mode) | |
6609 | (next-logical-line, auto-fill-mode, line-number-mode) | |
6610 | (column-number-mode, size-indication-mode, clone-indirect-buffer) | |
6611 | (normal-erase-is-backspace-mode): Doc fixes. | |
6612 | (current-kill, transient-mark-mode, toggle-truncate-lines) | |
6613 | (visible-mode): Reflow docstrings. | |
6614 | (minibuffer-local-shell-command-map, interprogram-paste-function): | |
6615 | Fix typos in docstrings. | |
6616 | ||
89c4b597 CY |
6617 | 2008-10-30 Chong Yidong <cyd@stupidchicken.com> |
6618 | ||
6619 | * server.el (server-process-filter): In daemon mode, default to | |
6620 | emacsclient's tty if not opening a new frame and only the | |
6621 | terminal-frame is available. | |
6622 | ||
9045be38 MR |
6623 | 2008-10-30 Martin Rudalics <rudalics@gmx.at> |
6624 | ||
6625 | * window.el (quit-window): Simplify code. Say in doc-string | |
6626 | that it operates on the selected window's buffer. (Bug#1259) | |
6627 | ||
acd1db23 NR |
6628 | 2008-10-30 Nick Roberts <nickrob@snap.net.nz> |
6629 | ||
6630 | * vc-svn.el (vc-svn-diff): If files is nil don't set oldvers to | |
6631 | nil so that log-view-diff-changeset works. | |
6632 | ||
976556c9 GM |
6633 | 2008-10-30 Glenn Morris <rgm@gnu.org> |
6634 | ||
6635 | * files.el (locate-dominating-stop-dir-regexp): Fix typo. | |
6636 | ||
752fac18 | 6637 | * resume.el: Move to obsolete/. |
69726ac3 | 6638 | * Makefile.in (ELCFILES): Update. |
752fac18 | 6639 | |
21d57aa2 CY |
6640 | 2008-10-29 Chong Yidong <cyd@stupidchicken.com> |
6641 | ||
bb07cb70 CY |
6642 | * electric.el (Electric-pop-up-window): Don't shrink the window if |
6643 | it's already big enough. | |
6644 | ||
623e480f CY |
6645 | * minibuffer.el (delete-minibuffer-contents): Delete all |
6646 | minibuffer contents instead of just the current field. | |
6647 | ||
1d2b0303 | 6648 | * tmm.el (tmm-get-keymap): Handle case where keyseq cache is omitted. |
8a7c2fb5 | 6649 | |
de4dc606 CY |
6650 | * bookmark.el (bookmark-handle-bookmark): Rename from |
6651 | bookmark-jump-noselect. | |
6652 | (bookmark--jump-via, bookmark-insert): Callers changed. | |
6653 | (bookmark-jump-noselect): Wrapper function for | |
6654 | bookmark-handle-bookmark. | |
6515ca91 | 6655 | (bookmark-get-bookmark-record): Signal error for invalid bookmark. |
de4dc606 | 6656 | |
21d57aa2 CY |
6657 | * textmodes/ispell.el (ispell-word): Use use-region-p. |
6658 | ||
19423c53 JB |
6659 | 2008-10-29 Juanma Barranquero <lekktu@gmail.com> |
6660 | ||
6661 | * tooltip.el (tooltip-functions): Rename from `tooltip-hook', | |
6662 | to follow naming conventions for abnormal hooks. Doc fix. | |
6663 | (tooltip-mode, tooltip-timeout): Use it. | |
6664 | (tooltip-hook): New obsolete alias. | |
6665 | (tooltip-help-tips): Doc fix. | |
6666 | ||
6667 | * progmodes/gud.el (gud-tooltip-mode): Use `tooltip-functions'. | |
6668 | ||
f77b11a0 JB |
6669 | 2008-10-29 Ulrich Mueller <ulm@gentoo.org> |
6670 | ||
6671 | * server.el (server-socket-dir): Use TMPDIR (default /tmp) instead | |
6672 | of hardcoded /tmp. | |
6673 | ||
dc515cca GM |
6674 | 2008-10-29 Glenn Morris <rgm@gnu.org> |
6675 | ||
f0e35aeb GM |
6676 | * net/xesam.el (dbus-get-unique-name, dbus-debug): Declare. |
6677 | ||
dc515cca GM |
6678 | * vc-hooks.el (vc-find-root): Remove bogus alias. |
6679 | ||
2279ba84 SM |
6680 | 2008-10-29 Stefan Monnier <monnier@iro.umontreal.ca> |
6681 | ||
6682 | * international/quail.el (quail-show-guidance): Don't create | |
6683 | a guidance-frame if current buffer is not a minibuffer, since even if | |
6684 | selected-window is mini-p, the buffer will never be displayed in it, so | |
6685 | it wil be usable for guidance. | |
6686 | ||
a8028e7b SM |
6687 | 2008-10-28 Stefan Monnier <monnier@iro.umontreal.ca> |
6688 | ||
6689 | * smerge-mode.el: Require diff-mode at run-time as well. | |
6690 | ||
efe0da9c MR |
6691 | 2008-10-28 Martin Rudalics <rudalics@gmx.at> |
6692 | ||
6693 | * vc-cvs.el (vc-cvs-stay-local): Fix typo in choice. | |
37e14a62 | 6694 | * vc-hooks.el (vc-stay-local): Likewise. |
efe0da9c | 6695 | |
dc515cca | 6696 | 2008-10-28 Phil Sung <psung@mit.edu> |
99dfcc0d MR |
6697 | |
6698 | * follow.el (follow-scroll-down, follow-calc-win-end) | |
6699 | (follow-estimate-first-window-start): Reduce effective window | |
437cfece | 6700 | height when header line is present. (Bug#925) |
99dfcc0d | 6701 | |
eeaa563e JB |
6702 | 2008-10-28 Juanma Barranquero <lekktu@gmail.com> |
6703 | ||
2bea2795 JB |
6704 | * subr.el (locate-user-emacs-file): Simplify. Don't create |
6705 | `user-emacs-directory' when Emacs is running in batch mode. | |
6706 | ||
eeaa563e JB |
6707 | * startup.el (inhibit-startup-screen): Reflow docstring. |
6708 | (user-mail-address, tool-bar-images-pixel-height): Fix docstring typos. | |
6709 | ||
38df7d21 JB |
6710 | 2008-10-27 Kenichi Handa <handa@m17n.org> |
6711 | ||
6712 | * descr-text.el (describe-char): Fix terminal case (where font is nil). | |
6713 | ||
16c1ddc2 JB |
6714 | 2008-10-27 Juanma Barranquero <lekktu@gmail.com> |
6715 | ||
6716 | * face-remap.el (text-scale-increase, text-scale-decrease) | |
6717 | (text-scale-adjust): Remove &optional keyword from the arg list; | |
6718 | the INC argument is not really optional, if the functions happen | |
6719 | to be called from elisp. | |
6720 | ||
4ff029f6 DN |
6721 | 2008-10-27 Dan Nicolaescu <dann@ics.uci.edu> |
6722 | ||
13ad7457 DN |
6723 | * vc.el: Rename VC methods that were missed when vc-status was |
6724 | renamed to vc-dir. | |
6725 | * vc-svn.el (vc-svn-dir-extra-headers): Rename from | |
6726 | vc-svn-status-extra-headers. | |
6727 | * vc-hg.el (vc-hg-dir-printer): Rename from vc-hg-status-printer. | |
6728 | (vc-hg-dir-extra-header): Rename from vc-hg-status-extra-headers. | |
6729 | * vc-git.el (vc-git-dir-printer): Rename from vc-dir-status-printer. | |
6730 | (vc-git-dir-extra-headers): Rename from vc-git-status-extra-headers. | |
6731 | * vc-dir.el (vc-dir-mode): Use vc-dir-printer instead of | |
6732 | vc-dir-status-printer. | |
6733 | (vc-dir-headers): Use `dir-extra-headers' instead of | |
16c1ddc2 | 6734 | `status-extra-headers'. |
13ad7457 DN |
6735 | (vc-dir-printer): Rename from vc-dir-status-printer. |
6736 | (vc-default-dir-extra-headers): Rename from | |
6737 | vc-default-status-extra-headers. | |
6738 | * vc-cvs.el (vc-cvs-dir-extra-headers): Rename from | |
6739 | vc-cvs-status-extra-headers. | |
6740 | ||
4ff029f6 DN |
6741 | * startup.el (server-name): Pacify byte compiler. |
6742 | (command-line): If --daemon=SERVER_NAME was used, set server-name | |
6743 | before calling server-start. | |
6744 | ||
5790ef40 DN |
6745 | 2008-10-26 Romain Francoise <romain@orebokech.com> |
6746 | ||
6747 | * startup.el (command-line): Call daemon-initialized after | |
6748 | starting the server. | |
6749 | ||
91c491e0 KH |
6750 | 2008-10-26 Kenichi Handa <handa@m17n.org> |
6751 | ||
6752 | * help-fns.el (describe-categories): Display the terse legend at | |
6753 | the head. | |
6754 | ||
6755 | * international/characters.el: Docstrings of categories improved. | |
6756 | ||
f37a389e SM |
6757 | 2008-10-26 Stefan Monnier <monnier@iro.umontreal.ca> |
6758 | ||
f7550173 SM |
6759 | * progmodes/python.el (python-mode): Don't impose ourselves on hippie. |
6760 | ||
f37a389e SM |
6761 | * faces.el (face-font-family-alternatives): Add "CMU Typewriter Text" |
6762 | to the courier family. | |
6763 | * textmodes/tex-mode.el (tex-verbatim): Don't use "monospace" which is | |
6764 | usually not serif'd and hence rather unlike verbatim's printed output. | |
6765 | ||
fafd2979 CY |
6766 | 2008-10-25 Chong Yidong <cyd@stupidchicken.com> |
6767 | ||
ddf59b5f CY |
6768 | * vc-cvs.el (vc-cvs-status-extra-headers): Use full directory name |
6769 | when reporting the module. | |
6770 | ||
fafd2979 CY |
6771 | * vc-dir.el (vc-dir-mode-map): Add follow-link behavior. |
6772 | ||
b6576049 SM |
6773 | * wid-edit.el (widget-color-complete): Sort completions alphabetically. |
6774 | ||
6775 | 2008-10-25 Stefan Monnier <monnier@iro.umontreal.ca> | |
6776 | ||
6777 | * files.el (locate-dominating-stop-dir-regexp): New var. | |
6778 | (locate-dominating-file): Change arg from a regexp to a file name. | |
6779 | Rewrite using the vc-find-root code to avoid directory-files which is | |
6780 | too slow. Obey locate-dominating-stop-dir-regexp. | |
6781 | Don't pay attention to changes in owner. | |
6782 | (project-find-settings-file): Adjust call to locate-dominating-file. | |
6783 | ||
6784 | * progmodes/flymake.el (flymake-find-buildfile): | |
6785 | Adjust call to locate-dominating-file. | |
6786 | ||
6787 | * vc-hooks.el (vc-find-root): Use locate-dominating-file. | |
6788 | (vc-ignore-dir-regexp): Use locate-dominating-stop-dir-regexp. | |
fafd2979 | 6789 | |
cf20330b MR |
6790 | 2008-10-25 Martin Rudalics <rudalics@gmx.at> |
6791 | ||
83f57f49 MR |
6792 | * subr.el (with-current-buffer): Rename buffer argument to |
6793 | buffer-or-name. | |
cf20330b MR |
6794 | * window.el (get-buffer-window-list): Rename buffer argument to |
6795 | buffer-or-name and make it optional. | |
6796 | ||
ea6c930a JB |
6797 | 2008-10-25 Juanma Barranquero <lekktu@gmail.com> |
6798 | ||
6799 | * completion.el (add-completion-to-head, add-completion): Doc fixes. | |
6800 | (completion-search-next, add-completions-from-file): | |
6801 | Fix typos in docstrings. | |
6802 | ||
6803 | * filesets.el (filesets-menu-ensure-use-cached) | |
6804 | (filesets-ingroup-patterns, filesets-filetype-property): | |
6805 | * tutorial.el (get-lang-string): | |
6806 | * play/gamegrid.el (gamegrid-score-file-length, gamegrid-add-score): | |
6807 | Fix typos in docstrings. | |
6808 | ||
6809 | * image-dired.el (image-dired-dired-after-readin-hook): Doc fix. | |
6810 | (image-dired-line-up-method, image-dired-thumb-size) | |
6811 | (image-dired-cmd-write-exif-data-options, image-dired-write-tags) | |
6812 | (image-dired-track-original-file, image-dired-track-thumbnail) | |
6813 | (image-dired-dired-next-line, image-dired-dired-previous-line) | |
6814 | (image-dired-write-comments): Reflow docstrings. | |
6815 | (image-dired-show-all-from-dir-max-files) | |
6816 | (image-dired-format-properties-string, image-dired-create-thumbs) | |
6817 | (image-dired-mark-tagged-files, image-dired-gallery-generate): | |
6818 | Fix typos in docstrings. | |
6819 | ||
6820 | * savehist.el (savehist-save-minibuffer-history, savehist-file) | |
6821 | (savehist-additional-variables, savehist-ignored-variables) | |
6822 | (savehist-file-modes, savehist-autosave-interval): | |
6823 | * startup.el (inhibit-startup-echo-area-message, inhibit-default-init) | |
6824 | (inhibit-startup-buffer-menu, mail-host-address, user-mail-address) | |
6825 | (fancy-splash-image): | |
6826 | * thumbs.el (thumbs-thumbsdir, thumbs-geometry, thumbs-relief) | |
6827 | (thumbs-conversion-program, thumbs-margin): | |
6828 | Remove spurious * in docstrings. | |
6829 | ||
ba286708 | 6830 | 2008-10-25 Aaron S. Hawley <aaronh@garden.org> |
a6e62f2e CY |
6831 | |
6832 | * thingatpt.el (end-of-sexp, beginning-of-sexp) | |
6833 | (forward-same-syntax): Omit default arguments to char-after and | |
6834 | char-before. | |
6835 | ||
d6c180c4 JB |
6836 | 2008-10-24 Juanma Barranquero <lekktu@gmail.com> |
6837 | ||
6838 | * subr.el (locate-user-emacs-file): New function. | |
6839 | (user-emacs-directory): Mention it in docstring. | |
6840 | ||
6841 | * completion.el (save-completions-file-name): | |
6842 | * filesets.el (filesets-menu-cache-file): | |
6843 | * image-dired.el (image-dired-dir, image-dired-db-file) | |
6844 | (image-dired-temp-image-file, image-dired-gallery-dir) | |
6845 | (image-dired-temp-rotate-image-file): | |
6846 | * savehist.el (savehist-file): | |
6847 | * server.el (server-auth-dir): | |
d6c180c4 JB |
6848 | * thumbs.el (thumbs-thumbsdir): |
6849 | * tutorial.el (tutorial--saved-dir): | |
6850 | * play/gamegrid.el (gamegrid-user-score-file-directory): Use it. | |
6851 | ||
802393f6 JB |
6852 | 2008-10-23 Juanma Barranquero <lekktu@gmail.com> |
6853 | ||
6854 | * edmacro.el (edmacro-eight-bits, edmacro-mode): Fix docstring typos. | |
6855 | (edmacro-mismatch, edmacro-sanitize-for-string): Doc fixes. | |
6856 | ||
ad4f4a36 CY |
6857 | 2008-10-23 Chong Yidong <cyd@stupidchicken.com> |
6858 | ||
6859 | * filesets.el: Update author email. | |
51d66d83 | 6860 | (filesets-data): Doc fix. |
ad4f4a36 | 6861 | |
d8c967ad | 6862 | 2008-10-23 Jens Petersen <petersen@redhat.com> (tiny change) |
84353206 | 6863 | |
802393f6 | 6864 | * pcmpl-rpm.el (pcomplete/rpm): Make "rpm -qp" use file completion. |
84353206 | 6865 | |
fd0dd4c3 KH |
6866 | 2008-10-23 Ulrich Mueller <ulm@kph.uni-mainz.de> |
6867 | ||
6868 | * international/mule-cmds.el (describe-language-environment): | |
6869 | Indent sample text. | |
6870 | ||
0ee35e51 GM |
6871 | 2008-10-23 Glenn Morris <rgm@gnu.org> |
6872 | ||
730ad3db GM |
6873 | * pcmpl-rpm.el (pcomplete/rpm): Doc fix. |
6874 | ||
0ee35e51 GM |
6875 | * emacs-lisp/cl-macs.el (flet): Throw an error when trying to |
6876 | byte-compile a redefinition of a function with special byte-compile | |
6877 | handling. (Bug#411) | |
6878 | ||
c4abbb04 VJL |
6879 | 2008-10-22 Vinicius Jose Latorre <viniciusjl@ig.com.br> |
6880 | ||
6881 | * ps-print.el: Deal with page sizes for label printes. Suggested by | |
6882 | Friedrich Delgado Friedrichs <friedel@nomaden.org>. | |
6883 | (ps-print-version): New version 7.3.3. | |
6884 | (ps-page-dimensions-database): New page sizes for label printers. | |
6885 | (ps-n-up-printing): Fix code. | |
6886 | ||
4133c214 CY |
6887 | 2008-10-22 Chong Yidong <cyd@stupidchicken.com> |
6888 | ||
00421bf5 CY |
6889 | * frame.el (make-frame-command): Doc fix. Use display-graphic-p. |
6890 | ||
d8ce500c CY |
6891 | * thingatpt.el (sexp-at-point, symbol-at-point, number-at-point) |
6892 | (list-at-point): Add docstrings. | |
6893 | ||
b6576049 | 6894 | * dired.el (dired-get-marked-files, dired-map-over-marks): Doc fixes. |
1442fd94 | 6895 | |
4133c214 CY |
6896 | * dired-aux.el (dired-do-create-files): Doc fix. |
6897 | ||
cb83c00b AR |
6898 | 2008-10-22 Adrian Robert <Adrian.B.Robert@gmail.com> |
6899 | ||
6900 | * version.el (emacs-version): Change '*Step' to 'NS' for consistency | |
6901 | with other documentation. | |
6902 | ||
3f2372cb JB |
6903 | 2008-10-22 Juanma Barranquero <lekktu@gmail.com> |
6904 | ||
6905 | * replace.el (how-many): Fix typo in docstring. | |
6906 | Reported by Leo <sdl.web@gmail.com>. | |
6907 | ||
4620ee7a GM |
6908 | 2008-10-22 Glenn Morris <rgm@gnu.org> |
6909 | ||
6910 | * international/mule-cmds.el (universal-coding-system-argument): | |
6911 | Check for C-g. (Bug#1205) | |
6912 | ||
4626499f KH |
6913 | 2008-10-22 Kenichi Handa <handa@m17n.org> |
6914 | ||
6915 | * international/characters.el: Don't setup | |
6916 | find-word-boundary-function-table. | |
6917 | (next-word-boundary-han, next-word-boundary-kana): Delete them. | |
b6576049 SM |
6918 | (word-combining-categories, word-separating-categories): |
6919 | Adjust to the change of the docstrings. | |
4626499f | 6920 | |
5ab6aa6d CY |
6921 | 2008-10-21 Chong Yidong <cyd@stupidchicken.com> |
6922 | ||
6923 | * simple.el (region-active-p): Doc fix. | |
6924 | ||
30ee26a9 EZ |
6925 | 2008-10-20 Eli Zaretskii <eliz@gnu.org> |
6926 | ||
6927 | * subr.el (apply-partially): Move from here... | |
6928 | ||
6929 | * simple.el (apply-partially): ...to here. | |
6930 | ||
d551d20d AS |
6931 | 2008-10-20 Andreas Schwab <schwab@suse.de> |
6932 | ||
6933 | * subr.el (split-string-and-unquote): Simplify regexp. | |
6934 | ||
d0fc47ed EZ |
6935 | 2008-10-20 Eli Zaretskii <eliz@gnu.org> |
6936 | ||
6937 | * subr.el (top-level): Require `cl' when compiling. | |
6938 | ||
bdf66e8d | 6939 | 2008-10-20 David Reitter <david.reitter@gmail.com> |
f133efb0 DR |
6940 | |
6941 | * info.el (Info-mode): Do not remove an existing header line if | |
6942 | `Info-use-header-line' is nil. | |
6943 | ||
10965505 JL |
6944 | 2008-10-19 Juri Linkov <juri@jurta.org> |
6945 | ||
04ff2dee JL |
6946 | * isearch.el (isearch-query-replace, isearch-query-replace-regexp): |
6947 | Add arg `delimited' as in `query-replace' for the case when | |
6948 | isearch-allow-scroll=t and the user types C-u M-%. Doc fix. | |
6949 | Add more indicators to the prompt ("word" and "in region"). | |
6950 | ||
6951 | * replace.el (query-replace, query-replace-regexp) | |
6952 | (replace-string, replace-regexp, perform-replace): Add "word" | |
6953 | indicatiors to the prompt for word delimited replacements. | |
6954 | ||
10965505 JL |
6955 | * replace.el (read-regexp): Rename arg `default' to `default-value'. |
6956 | Doc fix. | |
6957 | ||
086a7dd4 EZ |
6958 | 2008-10-19 Eli Zaretskii <eliz@gnu.org> |
6959 | ||
b6576049 | 6960 | * subr.el (apply-partially): Move here from minibuffer.el. Doc fix. |
b8fc05a9 | 6961 | |
086a7dd4 EZ |
6962 | * simple.el (minibuffer-default-add-shell-commands): Doc fix. |
6963 | ||
3ad8faa7 MR |
6964 | 2008-10-19 Martin Rudalics <rudalics@gmx.at> |
6965 | ||
6966 | * window.el (enlarge-window-horizontally, shrink-window-horizontally): | |
6967 | Make argument names follow Elisp manual. | |
6968 | ||
95aac6d1 EZ |
6969 | 2008-10-19 Eli Zaretskii <eliz@gnu.org> |
6970 | ||
3ad8faa7 | 6971 | * textmodes/remember.el (remember-data-file): |
d24ae8f0 EZ |
6972 | * shadowfile.el (shadow-initialize) |
6973 | <shadow-info-file, shadow-todo-file>: | |
3ad8faa7 MR |
6974 | * savehist.el (savehist-file): |
6975 | * recentf.el (recentf-save-file): | |
6976 | * pcvs-defs.el (cvs-cvsrc-file): | |
6977 | * international/kkc.el (kkc-init-file-name): | |
6978 | * ido.el (ido-save-directory-list-file): | |
d6ab9840 EZ |
6979 | * calendar/todo-mode.el (todo-file-do, todo-file-done) |
6980 | (todo-file-top): Run file names that begin with a period thru | |
6981 | `convert-standard-filename'. | |
6982 | ||
0c5f6aca EZ |
6983 | * dos-fns.el (dos-reevaluate-defcustoms): New function. Add it to |
6984 | before-init-hook. | |
6985 | ||
95aac6d1 EZ |
6986 | Fix Bug #1183: |
6987 | ||
6988 | * ediff-diff.el (ediff-exec-process): For buffer jobs, bind | |
6989 | coding-system-for-read to ediff-coding-system-for-write. | |
6990 | ||
6991 | * ediff-util.el (ediff-make-temp-file): Unconditionally bind | |
6992 | coding-system-for-write to ediff-coding-system-for-write. | |
6993 | ||
6994 | * ediff-init.el (ediff-coding-system-for-read): Doc fix. | |
6995 | (ediff-coding-system-for-write): Set to emacs-internal. | |
6996 | ||
0296a35e SM |
6997 | 2008-10-18 Stefan Monnier <monnier@iro.umontreal.ca> |
6998 | ||
6999 | * international/mule-conf.el (emacs-internal): New coding system alias. | |
7000 | ||
73bde1a8 JL |
7001 | 2008-10-18 Juri Linkov <juri@jurta.org> |
7002 | ||
66fc722f JL |
7003 | * info.el (Info-file-supports-index-cookies-list): New variable. |
7004 | (Info-file-supports-index-cookies): New function. | |
7005 | (Info-find-node-2, Info-index-nodes, Info-index-node): Use it. | |
7006 | (Info-index-nodes): Let-bind Info-point-loc to nil for files | |
7007 | without an index cookie to ignore it when Info-index-nodes is | |
7008 | called during navigating from an index node with line numbers. | |
7009 | (Info-index-node): Set file to Info-current-file if nil. (Bug#1118) | |
7010 | ||
7011 | * info.el (Info-breadcrumbs-depth): Move defcustom higher to the | |
7012 | variable definition section. | |
7013 | ||
73bde1a8 JL |
7014 | * info.el (Info-find-node-2): Put initial point below the header line |
7015 | and breadcrumbs line. | |
7016 | ||
ca32d854 GM |
7017 | 2008-10-18 Glenn Morris <rgm@gnu.org> |
7018 | ||
7019 | * doc-view.el (doc-view-pdf->txt, doc-view-ps->pdf): Give an error if | |
7020 | the needed external programs were not found. | |
7021 | ||
e240aaa9 EZ |
7022 | 2008-10-18 Eli Zaretskii <eliz@gnu.org> |
7023 | ||
7024 | * files.el (trash-directory): Run thru `convert-standard-filename'. | |
7025 | (file-modes-char-to-who, file-modes-char-to-right) | |
7026 | (file-modes-rights-to-number, file-modes-symbolic-to-number) | |
7027 | (read-file-modes): Doc fixes. | |
7028 | ||
fdf33790 CY |
7029 | 2008-10-17 Chong Yidong <cyd@stupidchicken.com> |
7030 | ||
7031 | * abbrev.el (define-abbrev): Doc fix. | |
7032 | ||
48e5a216 AM |
7033 | 2008-10-17 Alan Mackenzie <acm@muc.de> |
7034 | ||
7035 | * progmodes/cc-cmds.el (c-defun-name): Make it work for "struct | |
7036 | foo bar [] = { ...". | |
7037 | ||
74a3abf3 CY |
7038 | 2008-10-17 Chong Yidong <cyd@stupidchicken.com> |
7039 | ||
7040 | * faces.el (face-spec-set-2): Don't pass invalid attributes to | |
7041 | set-face-attribute. | |
7042 | ||
ac62ec16 JB |
7043 | 2008-10-17 Juanma Barranquero <lekktu@gmail.com> |
7044 | ||
7045 | * w32-fns.el (w32-list-locales): Decode output of `w32-get-locale-info' | |
7046 | according to `locale-coding-system'. (This fixes trivial bug reported | |
7047 | as part of bug#1179). | |
7048 | Sort list of valid locale ids (EnumSystemLocales does not guarantee any | |
7049 | particular order). Use `when'. | |
7050 | ||
72ab66eb JB |
7051 | 2008-10-16 Juanma Barranquero <lekktu@gmail.com> |
7052 | ||
7053 | * w32-fns.el (w32-check-shell-configuration): Doc fix. | |
7054 | (w32-add-charset-info): Fix typo in docstring. | |
7055 | ||
15bcf456 TZ |
7056 | 2008-10-16 Teodor Zlatanov <tzz@lifelogs.com> |
7057 | ||
7058 | * international/mule-conf.el: Removed `utf8' and `UTF8' aliases | |
7059 | after discussion. | |
7060 | ||
b4a7be38 MH |
7061 | 2008-10-16 Magnus Henoch <mange@freemail.hu> |
7062 | ||
7063 | * vc-arch.el (vc-arch-dir-status): New function. | |
7064 | (vc-arch-after-dir-status): New function. | |
7065 | ||
3d56260a GM |
7066 | 2008-10-16 Glenn Morris <rgm@gnu.org> |
7067 | ||
7068 | * man.el (Man-getpage-in-background): Force recent `man's to output | |
7069 | escape sequences even when stdout is not a tty. (Bug#1142) | |
7070 | ||
3ca194c2 TZ |
7071 | 2008-10-15 Teodor Zlatanov <tzz@lifelogs.com> |
7072 | ||
7073 | * international/mule-conf.el: Add `utf8' and `UTF8' as | |
7074 | aliases for the `utf-8' coding system. | |
7075 | ||
0c691252 JB |
7076 | 2008-10-15 Juanma Barranquero <lekktu@gmail.com> |
7077 | ||
7078 | * find-file.el (ff-get-file): Doc fix. | |
7079 | (ff-get-file-name): Use `let', not `let*'. | |
7080 | (ff-search-directories): Fix typo in docstring. | |
7081 | (ff-pre-find-hook, ff-pre-load-hook, ff-post-load-hook) | |
7082 | (ff-not-found-hook, ff-file-created-hook, ff-case-fold-search) | |
7083 | (ff-always-in-other-window, ff-ignore-include, ff-always-try-to-create) | |
7084 | (ff-quiet-mode, ff-other-file-alist, cc-search-directories) | |
7085 | (cc-other-file-alist, modula2-other-file-alist): | |
7086 | Remove spurious * in docstrings. | |
7087 | ||
5bb86dc4 CY |
7088 | 2008-10-14 Chong Yidong <cyd@stupidchicken.com> |
7089 | ||
7090 | * faces.el (set-face-attribute): Set family and foundry before | |
7091 | other attributes. | |
7092 | (face-spec-set-2): Pass unmodified args to set-face-attribute. | |
7093 | ||
b1bad9f3 JB |
7094 | 2008-10-14 Juanma Barranquero <lekktu@gmail.com> |
7095 | ||
7096 | * hl-line.el (hl-line-unload-function): New function. | |
7097 | (hl-line-unhighlight, global-hl-line-unhighlight): Use `when'. | |
7098 | (hl-line-sticky-flag): Remove spurious * in docstring. | |
7099 | ||
07ebab66 DN |
7100 | 2008-10-14 Eric Hanchrow <offby1@blarg.net> (tiny change) |
7101 | ||
7102 | * vc-git.el (vc-git-show-log-entry): Include the revision in the | |
7103 | search string. | |
7104 | ||
95d610cb MA |
7105 | 2008-10-14 Michael Albinus <michael.albinus@gmx.de> |
7106 | ||
7107 | * net/tramp.el (tramp-process-one-action): Embed regexp in | |
7108 | parentheses, before adding end-of-buffer construct. Suggested by | |
7109 | Markus Triska <markus.triska@gmx.at>. | |
7110 | ||
17abdd47 UJ |
7111 | 2008-10-13 Ulf Jasper <ulf.jasper@web.de> |
7112 | ||
6c25620a JB |
7113 | * net/newst-plainview.el (w3m-toggle-inline-image): |
7114 | Define 'declare-function if necessary, for compatibility. | |
17abdd47 | 7115 | |
6c25620a JB |
7116 | * net/newst-treeview.el (w3m-toggle-inline-images): |
7117 | Define 'declare-function if necessary, for compatibility. | |
17abdd47 | 7118 | |
40ebcb99 AM |
7119 | 2008-10-13 Alan Mackenzie <acm@muc.de> |
7120 | ||
6c25620a JB |
7121 | * progmodes/cc-cmds.el (c-indent-region): Fix previous patch |
7122 | so that the function works on one-line macros. | |
40ebcb99 | 7123 | |
a85fd6da AM |
7124 | * progmodes/cc-engine.el: Amend several doc strings and comments. |
7125 | ||
5870cb76 DN |
7126 | 2008-10-13 Dan Nicolaescu <dann@ics.uci.edu> |
7127 | ||
7128 | * vc-hooks.el (vc-stay-local): Add a new choice and default to it. | |
7129 | ||
7130 | * vc-cvs.el (vc-cvs-dir-stay-local): Remove. | |
7131 | (vc-cvs-stay-local): Add a new choice and default to it. | |
7132 | (vc-cvs-dir-status): Use the new vc-stay-local choice. | |
7133 | ||
7134 | * vc-svn.el (vc-svn-dir-status): Use the new vc-stay-local choice. | |
7135 | ||
dd4fdc44 JB |
7136 | 2008-10-12 Stephen Leake <stephen_leake@member.fsf.org> |
7137 | ||
7138 | * progmodes/ada-mode.el (ada-get-indent-end, ada-goto-matching-start): | |
7139 | Add support for extended return statement. | |
7140 | ||
7141 | * progmodes/ada-xref.el (ada-gnat-parse-gpr): Don't reverse src-dir | |
7142 | and obj-dir; keep user order. | |
7143 | ||
2e7bd464 GM |
7144 | 2008-10-12 Glenn Morris <rgm@gnu.org> |
7145 | ||
f6c2397a GM |
7146 | * Makefile.in (ELCFILES): Update. |
7147 | ||
2e7bd464 GM |
7148 | * simple.el (shell-delimiter-argument-list, shell-file-name-chars) |
7149 | (shell-file-name-quote-list): Declare. | |
7150 | ||
65b7cb2c MA |
7151 | 2008-10-12 Michael Albinus <michael.albinus@gmx.de> |
7152 | ||
7153 | * net/dbus.el (dbus-service-emacs, dbus-path-emacs): New defconst. | |
7154 | ||
32402572 CY |
7155 | 2008-10-12 Chong Yidong <cyd@stupidchicken.com> |
7156 | ||
5e1115e3 CY |
7157 | * startup.el (command-line): If toolbar is disabled but ought to |
7158 | be initialized, call tool-bar-setup. | |
7159 | ||
32402572 CY |
7160 | * tool-bar.el (tool-bar-setup): Variable deleted. |
7161 | (tool-bar-setup): Set it up unconditionally. | |
7162 | ||
7163 | * faces.el (x-create-frame-with-faces): Don't call tool-bar-setup. | |
7164 | ||
32402572 CY |
7165 | * progmodes/grep.el (grep-mode-tool-bar-map): Check if |
7166 | tool-bar-map has been initialized before setting it up. | |
7167 | ||
7168 | * progmodes/compile.el (compilation-mode-tool-bar-map): Check if | |
7169 | tool-bar-map has been initialized before setting it up. | |
7170 | ||
fe4d6c18 CY |
7171 | 2008-10-11 Chong Yidong <cyd@stupidchicken.com> |
7172 | ||
f76103b7 CY |
7173 | * emacs-lisp/warnings.el (display-warning): Issue a message if |
7174 | called during daemon initialization. | |
7175 | ||
fe4d6c18 CY |
7176 | * tool-bar.el (tool-bar-local-item) |
7177 | (tool-bar-local-item-from-menu): Put the check for number of | |
7178 | display colors into the image expression. | |
7179 | (tool-bar-setup): Don't be a no-op on ttys. | |
7180 | ||
b6576049 SM |
7181 | * info.el (info-tool-bar-map): |
7182 | * progmodes/gud.el (gud-tool-bar-map): | |
7183 | * progmodes/grep.el (grep-mode-tool-bar-map): | |
7184 | * progmodes/compile.el (compilation-mode-tool-bar-map): | |
7185 | Initialize it unconditionally. | |
819d6740 | 7186 | |
6b8d1c72 RF |
7187 | 2008-10-11 Romain Francoise <romain@orebokech.com> |
7188 | ||
7189 | * help.el (view-lossage): Fix docstring, lossage is now 300 keys. | |
7190 | * kmacro.el (kmacro-edit-lossage): Ditto. | |
7191 | * edmacro.el (edit-kbd-macro): Ditto. | |
7192 | ||
77a01f9b | 7193 | 2008-10-11 Ulf Jasper <ulf.jasper@web.de> |
4fe0d68e UJ |
7194 | |
7195 | * net/newst-treeview.el (newsticker--treeview-list-sort-by-column): | |
b6576049 | 7196 | Fix variable names. |
4fe0d68e | 7197 | |
32f1cc7b DN |
7198 | 2008-10-11 Dan Nicolaescu <dann@ics.uci.edu> |
7199 | ||
7200 | * startup.el (command-line): Revert 2008-09-26 change, not | |
7201 | necessary anymore. | |
7202 | ||
79ccd1b8 AS |
7203 | 2008-10-10 Andreas Schwab <schwab@suse.de> |
7204 | ||
b6576049 SM |
7205 | * simple.el (minibuffer-complete-shell-command): |
7206 | Bind comint-delimiter-argument-list, comint-file-name-chars and | |
79ccd1b8 AS |
7207 | comint-file-name-quote-list like shell-mode. |
7208 | ||
e6001b58 MR |
7209 | 2008-10-10 Martin Rudalics <rudalics@gmx.at> |
7210 | ||
7211 | * window.el (pop-to-buffer): Fix misplacement of arg norecord in | |
7212 | call of select-window. | |
7213 | ||
da78ead3 JB |
7214 | 2008-10-10 Jay Belanger <jay.p.belanger@gmail.com> |
7215 | ||
7216 | * calc/calc.el (calc-embedded-word-regexp) | |
7217 | (calc-embedded-word-regexp-alist): New variables. | |
7218 | (calc-embedded-open-word, calc-embedded-close-word) | |
7219 | (calc-embedded-open-close-word-alist): Remove unused variables. | |
7220 | ||
b6576049 SM |
7221 | * calc/calc-embed.el (calc-embedded-make-info): |
7222 | Use `calc-embedded-word-regexp' to find words. | |
da78ead3 | 7223 | |
c24d4826 MR |
7224 | 2008-10-10 Martin Rudalics <rudalics@gmx.at> |
7225 | ||
49275d55 | 7226 | * info.el (Info-extract-menu-counting): New argument no-detail to |
c24d4826 MR |
7227 | skip detailed node listings. |
7228 | (Info-forward-node): New argument not-up to inhibit going up. | |
7229 | (Info-final-node): Call Info-extract-menu-counting and | |
7230 | Info-forward-node with the new arguments set to avoid infinite | |
7231 | looping. (Bug#1116) | |
7232 | ||
41b3bdd3 EZ |
7233 | 2008-10-10 Eli Zaretskii <eliz@gnu.org> |
7234 | ||
7235 | * startup.el (command-line): Don't invoke tool-bar-mode if it is | |
7236 | not fboundp. | |
7237 | ||
a448e416 CY |
7238 | 2008-10-09 Chong Yidong <cyd@stupidchicken.com> |
7239 | ||
7240 | * startup.el (command-line): Enable tool-bar-mode as long as it is | |
7241 | not suppressed by X resources, regardless of the terminal. | |
7242 | ||
7243 | * tool-bar.el (tool-bar-mode): Only change tool-bar-lines on | |
7244 | graphical terminals. | |
7245 | (tool-bar-setup): No-op if called on a tty. | |
7246 | ||
2a12d736 EZ |
7247 | 2008-10-09 Eli Zaretskii <eliz@gnu.org> |
7248 | ||
b1bad9f3 JB |
7249 | * frame.el (make-frame-on-tty): Use "F" inside interactive. |
7250 | Support `pc' ``window-system''. | |
c4dde578 | 7251 | |
2a12d736 EZ |
7252 | * progmodes/compile.el (compilation-start): Resurrect the version |
7253 | for systems that don't support asynchronous subprocesses. | |
7254 | ||
d5f98c51 MR |
7255 | 2008-10-09 Martin Rudalics <rudalics@gmx.at> |
7256 | ||
7257 | * window.el (pop-up-frames): Add choice graphic-only. | |
7258 | (display-buffer): When pop-up-frames equals graphic-only do | |
7259 | not pop up new frame on text-only terminals. (Bug#1061) | |
7260 | ||
264175a8 DN |
7261 | 2008-10-09 Dan Nicolaescu <dann@ics.uci.edu> |
7262 | ||
7263 | * vc-cvs.el (vc-cvs-dir-stay-local): New variable. | |
7264 | (vc-cvs-dir-status): Use it. | |
7265 | ||
40b57955 CY |
7266 | 2008-10-08 Chong Yidong <cyd@stupidchicken.com> |
7267 | ||
7268 | * json.el (json-skip-whitespace): Fix last change. | |
7269 | ||
2c8262dc JB |
7270 | 2008-10-08 Juanma Barranquero <lekktu@gmail.com> |
7271 | ||
7272 | * bs.el (bs-unload-function): New function. | |
7273 | ||
fc17acd1 GM |
7274 | 2008-10-08 Sven Joachim <svenjoac@gmx.de> |
7275 | ||
7276 | * eshell/em-cmpl.el, eshell/em-hist.el, eshell/em-ls.el: | |
7277 | * eshell/esh-cmd.el, eshell/esh-ext.el, eshell/esh-io.el: | |
4c7eed94 | 7278 | For clarity, explicitly require cl. |
fc17acd1 | 7279 | |
54124194 MO |
7280 | 2008-10-08 Michael Olson <mwolson@gnu.org> |
7281 | ||
7282 | * ibuffer.el (ibuffer-shrink-to-fit): Force redisplay, so that we | |
7283 | can avoid a bad interaction with programs that add functions to | |
7284 | the window-scroll-functions hook. This fixes Bug #858. | |
7285 | ||
cb199e74 CY |
7286 | 2008-10-07 Chong Yidong <cyd@stupidchicken.com> |
7287 | ||
7288 | * startup.el (command-line): Use display-warning to warn about an | |
7289 | init file error. | |
7290 | (command-line-1): Remove init file error delay. | |
7291 | ||
a469adf3 CY |
7292 | 2008-10-07 Shigeru Fukaya <shigeru.fukaya@gmail.com> |
7293 | ||
6f040888 JB |
7294 | * rx-new.el (rx-constituents): Change `anything' to call rx-anything. |
7295 | Change `not-wordchar' assignment to "\\W" from "[^[:word:]]". | |
a469adf3 CY |
7296 | (rx-group-if): New function. |
7297 | (rx-parent): New variable. | |
7298 | (rx-and, rx-or): Put shy groups only when necessary. | |
7299 | (rx-bracket): Remove. | |
7300 | (rx-anything): New function. | |
7301 | (rx-any-delete-from-range, rx-any-condense-range) | |
7302 | (rx-check-any-string): New functions. | |
6f040888 JB |
7303 | (rx-check-any): Return result as a list. Don't convert chars to |
7304 | strings. Don't prepend "\\" to "^". Don't search for close bracket. | |
7305 | Check char category string. Call rx-form instead of rx-to-string. | |
a469adf3 | 7306 | (rx-any): Rebuid to complete the function. |
6f040888 JB |
7307 | (rx-check-not): Fix char category regexp pattern string. |
7308 | Call rx-form instead of rx-to-string. | |
7309 | (rx-not): Call rx-form instead of rx-to-string. Convert "[^]" to | |
7310 | "[^^]". Call regexp-quote for one char string when not called from | |
7311 | rx-not. Add "\\w", and toggle to upcase. Add the case of | |
a469adf3 | 7312 | "\\[SCBW]" to toggle. |
6f040888 | 7313 | (rx-=, rx->=, rx-**, rx-repeat, rx-submatch): Call rx-form |
a469adf3 | 7314 | instead of rx-to-string. |
6f040888 JB |
7315 | (rx-kleene): Call rx-form instead of rx-to-string. |
7316 | Call rx-group-if to adjust putting of shy groups. | |
a469adf3 CY |
7317 | (rx-atomic-p): Make check more precisely. |
7318 | (rx-eval, rx-greedy): Call rx-form instead of rx-to-string. | |
7319 | (rx-regexp): Call rx-group-if. | |
7320 | (rx-form): New function. | |
6f040888 JB |
7321 | (rx-to-string): Call rx-form, rx-group-if. |
7322 | Refine definition of NO-GROUP. | |
a469adf3 | 7323 | |
8aa89bc0 | 7324 | 2008-10-07 T. V. Raman <raman@users.sourceforge.net> (tiny change) |
e9701d16 CY |
7325 | |
7326 | * json.el (json-advance): Use forward-char. | |
7327 | (json-skip-whitespace): Use skip-syntax-forward. | |
7328 | ||
c0744081 AM |
7329 | 2008-10-07 Alan Mackenzie <acm@muc.de> |
7330 | ||
7331 | * progmodes/cc-cmds.el (c-indent-line-or-region): Fix so that | |
7332 | indenting a macro followed by blank lines doesn't backslash the | |
7333 | following non-blank line into the macro. | |
7334 | ||
81fe0060 CY |
7335 | 2008-10-06 Chong Yidong <cyd@stupidchicken.com> |
7336 | ||
2c8262dc | 7337 | * startup.el (before-init-time, after-init-time): Move into emacs.c. |
81fe0060 CY |
7338 | (command-line): Set after-init-time to nil before initialization. |
7339 | ||
ac318df0 JB |
7340 | 2008-10-06 Jay Belanger <jay.p.belanger@gmail.com> |
7341 | ||
7342 | * calc/calc-units.el (math-standard-units): Update the values | |
7343 | of the units. | |
7344 | ||
a35809ba JB |
7345 | 2008-10-06 Juanma Barranquero <lekktu@gmail.com> |
7346 | ||
7347 | * bookmark.el (bookmark-unload-function): New function. | |
7348 | ||
b55426e9 AS |
7349 | 2008-10-06 Andreas Schwab <schwab@suse.de> |
7350 | ||
7351 | * emacs-lisp/syntax.el (syntax-ppss): Doc fix. | |
7352 | ||
6a8b4632 MR |
7353 | 2008-10-06 Martin Rudalics <rudalics@gmx.at> |
7354 | ||
7355 | * mail/footnote.el: Remove * in defcustom docstrings and tell | |
7356 | for most options that customizing them doesn't affect buffers | |
7357 | already displaying footnotes. | |
a35809ba JB |
7358 | (Footnote-refresh-footnotes, Footnote-renumber-footnotes): |
7359 | Fix handling of text properties and identical start/end tags. | |
7360 | Do not use format when renumbering. | |
6a8b4632 MR |
7361 | (Footnote-set-style): Make it work. |
7362 | (Footnote-insert-numbered-footnote): Simplify. | |
7363 | (Footnote-narrow-to-footnotes, Footnote-insert-footnote) | |
7364 | (Footnote-goto-footnote): Fix handling of empty section tag. | |
7365 | (Footnote-delete-footnote): Fix handling of identical start/end | |
7366 | tags, empty section tag, and spaced footnotes. Do not use | |
7367 | kill-region. | |
7368 | (footnote-mode): Make most options buffer-local to avoid that | |
7369 | customizing messes up handling of footnotes in buffers that | |
7370 | already display them. | |
7371 | ||
1a0cf619 DN |
7372 | 2008-10-06 Dan Nicolaescu <dann@ics.uci.edu> |
7373 | ||
cd1d1525 DN |
7374 | * faces.el (x-create-frame-with-faces): Undo previous change. |
7375 | ||
1a0cf619 DN |
7376 | * vc-cvs.el (vc-cvs-after-dir-status): Parse the output for non |
7377 | existent files. | |
7378 | ||
69df71b8 CY |
7379 | 2008-10-05 Chong Yidong <cyd@stupidchicken.com> |
7380 | ||
7381 | * international/mule-cmds.el (universal-coding-system-argument): | |
7382 | Handle digit-argument too. | |
7383 | ||
50694105 CY |
7384 | 2008-10-05 Mario Lang <mlang@delysid.org> |
7385 | ||
7386 | * xml.el (xml-parse-string): Use skip-chars-forward. | |
7387 | ||
11b4001c DN |
7388 | 2008-10-05 Dan Nicolaescu <dann@ics.uci.edu> |
7389 | ||
7390 | * vc-bzr.el (vc-bzr-after-dir-status): Parse the output for non | |
7391 | existent files. | |
7392 | (vc-bzr-dir-status-files): New function. | |
7393 | ||
f3e6c9f3 GM |
7394 | 2008-10-04 Glenn Morris <rgm@gnu.org> |
7395 | ||
f8dce815 GM |
7396 | * files.el (make-temp-file): Handle empty `prefix'. (Bug#1081) |
7397 | ||
a80a6b03 GM |
7398 | * vc-svn.el (vc-svn-after-dir-status): Handle `svn status -u' output. |
7399 | (vc-svn-dir-status): Respect vc-stay-local-p. (Bug#1046) | |
7400 | ||
f3e6c9f3 GM |
7401 | * vc-cvs.el (vc-cvs-dir-status-heuristic): New function. |
7402 | (vc-cvs-dir-status): Respect vc-stay-local-p. (Bug#1046) | |
7403 | ||
244a0c3c GM |
7404 | 2008-10-04 David J. Biesack <David.Biesack@sas.com> (tiny change) |
7405 | ||
7406 | * progmodes/antlr-mode.el (antlr-font-lock-additional-keywords): | |
7407 | Fix typo. (Bug#1074) | |
7408 | ||
e6f3e104 MR |
7409 | 2008-10-04 Martin Rudalics <rudalics@gmx.at> |
7410 | ||
7411 | * progmodes/compile.el (compilation-start): Make sure to move to | |
6f040888 | 7412 | point-max only when we are in the compilation buffer. (Bug#1073) |
e6f3e104 | 7413 | |
847fb889 DN |
7414 | 2008-10-04 Dan Nicolaescu <dann@ics.uci.edu> |
7415 | ||
bf784417 DN |
7416 | * faces.el (x-create-frame-with-faces): Only setup the toolbar if |
7417 | tool-bar-mode is on. | |
7418 | ||
847fb889 DN |
7419 | * vc-svn.el (vc-svn-dir-status-files): |
7420 | * vc-cvs.el (vc-cvs-dir-status-files): New function. | |
7421 | ||
a779ddf0 DN |
7422 | 2008-10-03 Dan Nicolaescu <dann@ics.uci.edu> |
7423 | ||
7424 | * vc-hg.el (vc-hg-dir-status-files): New function. | |
7425 | ||
feb1e910 MR |
7426 | 2008-10-03 Martin Rudalics <rudalics@gmx.at> |
7427 | ||
7428 | * window.el (window--display-buffer-1): Don't care about | |
7429 | visibility since raise-frame does. | |
7430 | ||
eb22cb8c GM |
7431 | 2008-10-03 Mario Lang <mlang@delysid.org> |
7432 | ||
7433 | * nxml/xmltok.el (xmltok-forward): Simplify. | |
7434 | ||
4998f839 GM |
7435 | 2008-10-03 Glenn Morris <rgm@gnu.org> |
7436 | ||
40281995 GM |
7437 | * Makefile.in (ELCFILES): Update. |
7438 | ||
52ef9375 | 7439 | * frame.el (set-default-font): Make obsolete. |
b7d1d101 | 7440 | * mouse.el (mouse-set-font): Use set-frame-font. |
52ef9375 | 7441 | |
6f34ccdf GM |
7442 | * jka-cmpr-hook.el (jka-compr-compression-info-list) |
7443 | (jka-compr-mode-alist-additions): Also match `.tbz2'. | |
7444 | ||
4998f839 GM |
7445 | * progmodes/idlwave.el (auto-mode-alist): Remove unnecessary autoload. |
7446 | * files.el (auto-mode-alist): Add .PRO as per above autoload. | |
7447 | ||
7aaf911c DN |
7448 | 2008-10-03 Dan Nicolaescu <dann@ics.uci.edu> |
7449 | ||
7450 | * vc-dir.el (vc-dir-mode): Don't create the ewoc header here. | |
7451 | (vc-dir-refresh): Set it here instead. (Bug#1067) | |
7452 | ||
7c89ea42 CY |
7453 | 2008-10-02 Chong Yidong <cyd@stupidchicken.com> |
7454 | ||
7455 | * faces.el (inhibit-frame-set-background-mode): New var. | |
7456 | (frame-set-background-mode): Use it to avoid a loop in | |
7457 | face-spec-recalc. | |
7458 | ||
60aff924 GM |
7459 | 2008-10-02 Glenn Morris <rgm@gnu.org> |
7460 | ||
7461 | * vc-bzr.el (vc-bzr-diff): Use vc-switches rather than the obsolete | |
7462 | vc-diff-switches. | |
7463 | ||
97f6e1ad VJL |
7464 | 2008-10-01 Vinicius Jose Latorre <viniciusjl@ig.com.br> |
7465 | ||
7466 | * whitespace.el: Eliminate whitespace-kill-buffer-hook functionality, | |
7467 | that is, to take some action when a buffer is killed. Suggested by | |
7468 | Stefan Monnier <monnier@iro.umontreal.ca>. Doc fix. New version | |
7469 | 11.2.2. | |
7470 | (whitespace-action): Docstring and :type fix. | |
7471 | (whitespace-turn-on, whitespace-turn-off, whitespace-warn-read-only): | |
7472 | Code fix. | |
7473 | (whitespace-add-local-hook, whitespace-remove-local-hook) | |
7474 | (whitespace-kill-buffer-hook, whitespace-action): Fun eliminated. | |
7475 | ||
8ed4a859 MH |
7476 | 2008-10-01 Magnus Henoch <mange@freemail.hu> |
7477 | ||
7478 | * net/tls.el (open-tls-stream): Show the actual command being | |
7479 | executed, instead of the format string. | |
7480 | ||
970c9391 EZ |
7481 | 2008-10-01 Eli Zaretskii <eliz@gnu.org> |
7482 | ||
4009e4f8 EZ |
7483 | * term/internal.el (dos-locale-alist): New alist. |
7484 | (dos-codepage-setup): Use it to compute a value of locale with | |
7485 | which to call set-locale-environment. Remove code to set | |
7486 | terminal, keyboard, and file-name encoding (done by | |
7487 | set-locale-environment). | |
7488 | ||
970c9391 EZ |
7489 | * international/mule-cmds.el |
7490 | (set-language-environment-nonascii-translation): Fix nonascii | |
7491 | value for `pc' ``window-system''. | |
7492 | (set-display-table-and-terminal-coding-system) | |
7493 | (set-default-coding-systems): Don't special-case `pc'. | |
7494 | ||
6efb94fc GM |
7495 | 2008-10-01 Glenn Morris <rgm@gnu.org> |
7496 | ||
7497 | * filesets.el (filesets-menu-name): Fix type. | |
7498 | (filesets-menu-path, filesets-menu-before): Fix types. | |
7499 | Change defaults to be consistent with recentf. (Bug#1056) | |
7500 | ||
ffaa90dd SM |
7501 | 2008-09-30 Stefan Monnier <monnier@iro.umontreal.ca> |
7502 | ||
7503 | * term/xterm.el (xterm-turn-on-modify-other-keys) | |
7504 | (xterm-turn-off-modify-other-keys, xterm-remove-modify-other-keys): | |
7505 | Don't forget to pass `terminal' to `send-string-to-terminal'. | |
7506 | ||
413eee81 MA |
7507 | 2008-09-30 Michael Albinus <michael.albinus@gmx.de> |
7508 | ||
7509 | * vc-hooks.el (vc-file-clearprops): Revert change from 2008-09-29. | |
7510 | (vc-file-not-found-hook): Check, that `buffer-file-name' is | |
7511 | non-nil. It is not clear, whether this is only fixing symptoms on | |
6f040888 | 7512 | an error. OTOH, in all other cases, the call of `vc-file-clearprops' |
413eee81 MA |
7513 | is embedded by that check. So it might be TRT. Suggested by Dan |
7514 | Nicolaescu <dann@ics.uci.edu>. | |
7515 | ||
f45de83b EZ |
7516 | 2008-09-30 Eli Zaretskii <eliz@gnu.org> |
7517 | ||
b1b1753b EZ |
7518 | * Makefile.in (ELCFILES): Remove codepage.elc. |
7519 | ||
a7a461ef EZ |
7520 | * international/codepage.el: File removed, as even ms-dos doesn't |
7521 | need it anymore. | |
7522 | ||
7523 | * loadup.el [ms-dos]: Don't load ccl and codepage. | |
7524 | ||
ff586413 EZ |
7525 | * term/internal.el: Remove coding: cookie and no-byte-compile flag. |
7526 | (IT-character-translations, cjk-codepages-alist): Remove variables. | |
7527 | (IT-display-table-setup, dos-cpNNN-setup): Remove functions. | |
ffaa90dd SM |
7528 | (IT-unicode-translations): Remove charset and base elements. |
7529 | Add translations for Latin-1 characters. | |
ff586413 EZ |
7530 | (IT-setup-unicode-display): Accept a CODING argument. Don't use |
7531 | base and chset elements of IT-unicode-translations. Don't wrap | |
7532 | translation in "{...}". Set up translations only for characters | |
7533 | for which unencodable-char-position returns non-nil. | |
7534 | (dos-codepage-setup): Don't use special-case codepages in | |
7535 | cjk-codepages-alist. | |
7536 | ||
a03c5807 EZ |
7537 | * files.el (locate-dominating-file): Wrap directory-files with |
7538 | condition-case, instead of calling file-directory-p, which stats | |
7539 | the directory one more time. | |
7540 | ||
ffaa90dd SM |
7541 | * mail/mail-utils.el (mail-unquote-printable-region): |
7542 | Use insert-byte instead of insert-char, when the UNIBYTE arg is | |
f45de83b EZ |
7543 | non-nil. |
7544 | ||
6ea97db8 DU |
7545 | 2008-09-30 Daiki Ueno <ueno@unixuser.org> |
7546 | ||
7547 | * epa-file.el (epa-file-insert-file-contents): Fix typo. | |
7548 | ||
9b3568e1 GM |
7549 | 2008-09-30 Glenn Morris <rgm@gnu.org> |
7550 | ||
7551 | * progmodes/which-func.el (which-func-imenu-joiner-function): Doc fix. | |
7552 | ||
c27c178c GM |
7553 | 2008-09-30 Daniel Colascione <danc@merrillpress.com> |
7554 | ||
9b3568e1 GM |
7555 | * progmodes/which-func.el (which-func-imenu-joiner-function): New. |
7556 | (which-function): Handle nested imenu trees. | |
7557 | ||
c27c178c GM |
7558 | * imenu.el (imenu--split-menu): Fix bug with shared lists that deleted |
7559 | some nested menu items. | |
7560 | ||
4043c194 JB |
7561 | 2008-09-30 Jay Belanger <jay.p.belanger@gmail.com> |
7562 | ||
7563 | * calc/calc-units.el (math-standard-units): Add entries used to | |
867d4bb3 | 7564 | display the values in the units buffer. |
a35809ba | 7565 | (math-build-units-table): Add entries to the units table to be used |
4043c194 | 7566 | to display the values in the units buffer. |
a35809ba | 7567 | (math-build-units-table-buffer): Use the display entry of the units |
4043c194 JB |
7568 | table when non-nil. |
7569 | (calc-define-unit): Add option to enter display value of unit. | |
7570 | ||
613658d4 MA |
7571 | 2008-09-29 Michael Albinus <michael.albinus@gmx.de> |
7572 | ||
7573 | * vc-hooks.el (vc-file-clearprops): Check, that FILE is a string. | |
7574 | ||
69ad666d EZ |
7575 | 2008-09-29 Eli Zaretskii <eliz@gnu.org> |
7576 | ||
7577 | * files.el (locate-dominating-file): Take file-attributes of | |
7578 | `dir', not of `file' (which never changes). | |
7579 | ||
d5fb39b4 MA |
7580 | 2008-09-29 Michael Albinus <michael.albinus@gmx.de> |
7581 | ||
7582 | * files.el (file-remote-p): Precise doc string; IDENTIFICATION can | |
7583 | also be `localname'. | |
7584 | ||
7585 | * net/ange-ftp.el (ange-ftp-file-remote-p): Handle `localname' as | |
613658d4 | 7586 | IDENTIFICATION. |
d5fb39b4 | 7587 | |
559ca68a GM |
7588 | 2008-09-28 Glenn Morris <rgm@gnu.org> |
7589 | ||
7590 | * vc.el (vc-switches): Give it a doc string. | |
7591 | ||
7581ba40 RF |
7592 | 2008-09-28 Romain Francoise <romain@orebokech.com> |
7593 | ||
ddee1604 RF |
7594 | * comint.el (comint-show-output): Adjust to stickiness changes of |
7595 | the output field. | |
7596 | ||
7581ba40 RF |
7597 | * startup.el (command-line): Start the daemon server later. |
7598 | ||
c41bd0ec MR |
7599 | 2008-09-28 Martin Rudalics <rudalics@gmx.at> |
7600 | ||
7601 | * subr.el (read-quoted-char): Call char-resolve-modifiers | |
7602 | instead of char-resolve-modifers. | |
7603 | ||
e64c74f2 GM |
7604 | 2008-09-27 Glenn Morris <rgm@gnu.org> |
7605 | ||
7606 | * play/solitaire.el (solitaire-mode-map): Bind "\r" rather | |
7607 | than [return]. (Bug#1031) | |
7608 | ||
f4498b9e GM |
7609 | 2008-09-27 Peter Dyballa <Peter_Dyballa@Freenet.DE> |
7610 | ||
7611 | * calendar/calendar.el (solar-sunrises-buffer): Fix typo. | |
7612 | ||
47e49712 DU |
7613 | 2008-09-27 Daiki Ueno <ueno@unixuser.org> |
7614 | ||
ffaa90dd | 7615 | * epg.el (epg-wait-for-status): Check if there is no pending status. |
47e49712 DU |
7616 | Reported by Ted Romer <ted@romerfamily.com>. |
7617 | ||
b082f792 DN |
7618 | 2008-09-26 Dan Nicolaescu <dann@ics.uci.edu> |
7619 | ||
7620 | * startup.el (command-line): Turn on menu-bar-mode and | |
7621 | tool-bar-mode when running as a daemon. | |
7622 | ||
4860b1b8 EZ |
7623 | 2008-09-26 Eli Zaretskii <eliz@gnu.org> |
7624 | ||
1ed6e04d EZ |
7625 | * makefile.w32-in ($(lisp)/progmodes/cc-mode.elc): Remove. |
7626 | ($(lisp)/progmodes/cc-align.elc, $(lisp)/progmodes/cc-cmds.elc) | |
7627 | ($(lisp)/progmodes/cc-compat.elc, $(lisp)/progmodes/cc-defs.elc) | |
7628 | ($(lisp)/progmodes/cc-engine.elc) | |
7629 | ($(lisp)/progmodes/cc-fonts.elc, $(lisp)/progmodes/cc-langs.elc) | |
7630 | ($(lisp)/progmodes/cc-mode.elc, $(lisp)/progmodes/cc-styles.elc) | |
7631 | ($(lisp)/progmodes/cc-subword.elc) | |
7632 | ($(lisp)/progmodes/cc-vars.elc): New dependencies. | |
7633 | ||
4860b1b8 EZ |
7634 | * Makefile.in ($(lisp)/progmodes/cc-mode.elc): Remove. |
7635 | ($(lisp)/progmodes/cc-align.elc, $(lisp)/progmodes/cc-cmds.elc) | |
7636 | ($(lisp)/progmodes/cc-compat.elc, $(lisp)/progmodes/cc-defs.elc) | |
7637 | ($(lisp)/progmodes/cc-engine.elc) | |
7638 | ($(lisp)/progmodes/cc-fonts.elc, $(lisp)/progmodes/cc-langs.elc) | |
7639 | ($(lisp)/progmodes/cc-mode.elc, $(lisp)/progmodes/cc-styles.elc) | |
7640 | ($(lisp)/progmodes/cc-subword.elc) | |
7641 | ($(lisp)/progmodes/cc-vars.elc): New dependencies. | |
7642 | ||
4b93c9d5 KY |
7643 | 2008-09-25 Katsumi Yamaoka <yamaoka@jpl.org> |
7644 | ||
7645 | * informat.el (Info-split-threshold): New variable. | |
7646 | (Info-split): Use it. | |
7647 | ||
7648 | * textmodes/texinfmt.el (texinfo-format-buffer): | |
7649 | Use Info-split-threshold to decide whether to split Info files. | |
7650 | ||
acfa99ee CY |
7651 | 2008-09-25 Chong Yidong <cyd@stupidchicken.com> |
7652 | ||
6c7e2ce8 CY |
7653 | * progmodes/octave-mod.el (octave-mode-menu): Fix incorrect |
7654 | quoting. | |
7655 | ||
d2f38ec4 CY |
7656 | * comint.el (comint-dynamic-complete-as-filename): Quote directory |
7657 | name when reinserting it. | |
7658 | ||
acfa99ee CY |
7659 | * isearch.el (isearch-search-fun): Use word-search-forward-lax and |
7660 | word-search-backward-lax for incremental word search. | |
7661 | ||
4b8643d3 JB |
7662 | 2008-09-25 Juanma Barranquero <lekktu@gmail.com> |
7663 | ||
7664 | * generic-x.el (generic-other-modes): Fix typo in docstring. | |
7665 | (generic-use-find-file-hook, generic-lines-to-scan) | |
7666 | (generic-find-file-regexp, generic-ignore-files-regexp) | |
7667 | (generic-define-mswindows-modes, generic-define-unix-modes): | |
7668 | Remove `*' from docstring. | |
7669 | (generic-mode-find-file-hook): Use `string-match-p'. | |
7670 | (apache-log-generic-mode, mailagent-rules-generic-mode) | |
7671 | (prototype-generic-mode, pkginfo-generic-mode, javascript-generic-mode) | |
7672 | (java-manifest-generic-mode, java-properties-generic-mode) | |
7673 | (alias-generic-mode): Doc fix: use "Generic mode" in docstrings | |
7674 | for consistency with other modes in generic-x.el. | |
7675 | ||
fa9a8f78 MR |
7676 | 2008-09-25 Martin Rudalics <rudalics@gmx.at> |
7677 | ||
4b8643d3 | 7678 | * textmodes/tex-mode.el (latex-handle-escaped-parens): New variable. |
fa9a8f78 MR |
7679 | (latex-backward-sexp-1, latex-forward-sexp-1): Treat escaped |
7680 | parens specially only if latex-handle-escaped-parens is non-nil. | |
7681 | (latex-indent-within-escaped-parens): New option. | |
7682 | (latex-find-indent): Bind latex-handle-escaped-parens to | |
7683 | latex-indent-within-escaped-parens. Do not treat escaped parens | |
7684 | specially when this is nil. (Bug#954) | |
7685 | ||
4dd7f375 GM |
7686 | 2008-09-25 Glenn Morris <rgm@gnu.org> |
7687 | ||
7688 | * ffap.el (ffap-fixup-url): Don't call url-normalize-url. (Bug#898) | |
7689 | ||
51dde7dc CY |
7690 | 2008-09-25 Chong Yidong <cyd@stupidchicken.com> |
7691 | ||
7692 | * vc.el (vc-mark-resolved): Move message here from | |
7693 | vc-default-mark-resolved. | |
7694 | (vc-default-mark-resolved): Change to an alias for ignore. | |
7695 | ||
1601aa7a CY |
7696 | 2008-09-24 Andreas Politz <politza@fh-trier.de> (tiny change) |
7697 | ||
7698 | * term.el (term-emulate-terminal): Encode input string before | |
7699 | checking its length. | |
7700 | ||
b1a6746f CY |
7701 | 2008-09-24 Chong Yidong <cyd@stupidchicken.com> |
7702 | ||
32655809 CY |
7703 | * progmodes/sh-script.el (sh-mode-map): Don't assume that skeleton |
7704 | is loaded. | |
7705 | ||
ef1a3179 CY |
7706 | * server.el (server-buffer-done): Avoid changing the buffer when |
7707 | deleting the client's frame (bug#640). | |
7708 | ||
b1a6746f CY |
7709 | * vc.el (vc-default-mark-resolved): New function. |
7710 | ||
574297e3 CY |
7711 | 2008-09-24 Stephen Berman <stephen.berman@gmx.net> |
7712 | ||
7713 | * mail/rfc822.el (rfc822-addresses): Prevent rfc822-bad-address | |
7714 | from raising a wrong-type-argument error. | |
7715 | ||
e3d51b27 MR |
7716 | 2008-09-24 Martin Rudalics <rudalics@gmx.at> |
7717 | ||
7718 | * help-fns.el (describe-function-1, describe-variable): Print | |
7719 | relative file name in help buffer. | |
7720 | * faces.el (describe-face): Print relative file name in help | |
7721 | buffer. | |
7722 | ||
7723 | 2008-09-23 Romain Francoise <romain@orebokech.com> | |
7724 | ||
7725 | * subr.el (with-output-to-string): Make sure that the temporary | |
7726 | buffer gets killed. | |
7727 | ||
4b8643d3 | 7728 | 2008-09-23 Markus Sauermann <markus@sauermann-consulting.de> (tiny change) |
e3d51b27 | 7729 | |
d275f05d | 7730 | * emacs-lisp/lisp-mode.el (calculate-lisp-indent): Fix |
e3d51b27 MR |
7731 | indentation problem with keyword symbols when a list starts with |
7732 | ,@ or spaces. (Bug#1012) | |
7733 | ||
7734 | 2008-09-23 Martin Rudalics <rudalics@gmx.at> | |
7735 | ||
7736 | * textmodes/tex-mode.el (latex-find-indent): Try to handle | |
7737 | escaped close parens correctly. (Bug#954) | |
7738 | ||
7739 | 2008-09-22 Tassilo Horn <tassilo@member.fsf.org> | |
7740 | ||
7741 | * play/fortune.el (fortune-in-buffer): Fix a bug which forced | |
7742 | usage of `fortune-file' even though a FILE argument was passed to | |
7743 | the function. | |
7744 | ||
7745 | 2008-09-21 Dan Nicolaescu <dann@ics.uci.edu> | |
7746 | ||
7747 | * startup.el (command-line): Start the server when in daemon mode. | |
7748 | Remove always true test. | |
7749 | ||
7750 | * frame.el (frame-initialize): Remove spurious setting of | |
7751 | special-display-function with the default value. | |
7752 | ||
7753 | 2008-09-20 Vincent Belaïche <vincent.b.1@hotmail.fr> | |
7754 | ||
7755 | * calc/calc-vec.el (calcFunc-venum): Properly handle intervals. | |
7756 | ||
7757 | 2008-09-20 Glenn Morris <rgm@gnu.org> | |
7758 | ||
7759 | * emacs-lisp/lisp-mode.el (lisp-indent-offset): | |
7760 | Fix custom type. (Bug#1011) | |
7761 | ||
7762 | 2008-09-20 David De La Harpe Golden <david@harpegolden.net> | |
7763 | ||
7764 | * files.el (move-file-to-trash): Avoid recursive trashing if | |
7765 | rename-file calls delete-file. | |
7766 | ||
7767 | 2008-09-20 Glenn Morris <rgm@gnu.org> | |
7768 | ||
7769 | * play/fortune.el: Remove leading `*' from defcustom docs. | |
7770 | (fortune-program-options): Doc fix. Allow to be a string again. | |
7771 | Add :version. | |
7772 | (fortune-in-buffer): Handle fortune-program-options as a string. | |
7773 | Don't rely on fortune program accepting options after fortune file. | |
7774 | ||
7775 | 2008-09-20 Justin Bogner <mail@justinbogner.com> (tiny change) | |
7776 | ||
7777 | * play/fortune.el (fortune-program-options): Change to a list. | |
7778 | (fortune-in-buffer): Use apply. | |
7779 | ||
7780 | 2008-09-20 Ulrich Mueller <ulm@kph.uni-mainz.de> | |
7781 | ||
7782 | * emacs-lisp/authors.el: Change encoding of file to utf-8. | |
7783 | (authors-coding-system): Likewise. | |
7784 | ||
7785 | 2008-09-20 Ami Fischman <ami@fischman.org> | |
7786 | ||
7787 | * savehist.el (savehist-save): Handle errors in writing as well as | |
7788 | reading. | |
7789 | ||
7790 | 2008-09-20 Michael Olson <mwolson@gnu.org> | |
7791 | ||
7792 | * emacs-lisp/tq.el (tq-create): Disable undo in tq buffer in order | |
7793 | to provide a minimal performance boost. | |
7794 | ||
7795 | 2008-09-19 Roland Winkler <Roland.Winkler@physik.uni-erlangen.de> | |
7796 | ||
7797 | * proced.el (proced-grammar-alist, proced-custom-attributes) | |
7798 | (proced-format-alist, proced-format, proced-filter-alist) | |
7799 | (proced-filter, proced-sort): Use defcustom. | |
7800 | (proced-mode): Fix docstring. | |
7801 | (proced-process-attributes): Handle return value nil of functions | |
7802 | in proced-custom-attributes. | |
7803 | ||
7804 | 2008-09-19 Martin Rudalics <rudalics@gmx.at> | |
7805 | ||
7806 | * textmodes/sgml-mode.el (sgml-tag-syntax-table): Remove prefix | |
7807 | flag from "'" entry in sgml-tag-syntax-table. (Bug#946) | |
7808 | ||
7809 | 2008-09-19 Miles Bader <miles@gnu.org> | |
7810 | ||
7811 | * comint.el (comint-output-filter): Make field properties for | |
7812 | output text front-sticky. | |
7813 | ||
7814 | 2008-09-18 Dan Nicolaescu <dann@ics.uci.edu> | |
7815 | ||
7816 | * vc-bzr.el (vc-bzr-show-log-entry): | |
7817 | * vc-git.el (vc-git-show-log-entry): Deal with a nil argument, | |
7818 | C-x v l for a directory uses that. | |
7819 | ||
7820 | 2008-09-18 Simon Josefsson <simon@josefsson.org> | |
7821 | ||
7822 | * files.el (auto-mode-alist): Use sieve-mode for .sv, .siv, and | |
7823 | .sieve files. | |
7824 | ||
7825 | 2008-09-18 Martin Rudalics <rudalics@gmx.at> | |
7826 | ||
7827 | * help-macro.el (make-help-screen): Preserve key bindings | |
7828 | established in help-mode call when exiting this macro. | |
7829 | ||
7830 | 2008-09-17 Kenichi Handa <handa@m17n.org> | |
7831 | ||
7832 | * language/burmese.el: Fix setting of composition-function-table. | |
7833 | ||
7834 | 2008-09-17 Martin Rudalics <rudalics@gmx.at> | |
7835 | ||
7836 | * info.el (Info-follow-nearest-node): Don't raise an error for | |
7837 | mouse-1 clicks. | |
7838 | ||
7839 | 2008-09-17 Jay Belanger <jay.p.belanger@gmail.com> | |
7840 | ||
7841 | * calc/calc-units.el (calc-convert-temperature): Use default | |
7842 | units when appropriate. | |
7843 | ||
7844 | 2008-09-16 Markus Triska <markus.triska@gmx.at> | |
7845 | ||
7846 | * textmodes/flyspell.el (flyspell-math-tex-command-p): Always | |
7847 | catch errors raised in `texmathp'. | |
7848 | (flyspell-tex-math-initialized): Remove. | |
7849 | ||
7850 | 2008-09-16 Martin Rudalics <rudalics@gmx.at> | |
7851 | ||
7852 | * frame.el (select-frame-set-input-focus): With non-nil | |
7853 | mouse-autoselect-window always move mouse cursor to frame's | |
7854 | selected window. Otherwise restore pre 2008-09-13 behavior. | |
7855 | (select-frame-by-name): Use select-frame-set-input-focus. | |
7856 | ||
7857 | * files.el (switch-to-buffer-other-frame): Don't raise frame since | |
7858 | pop-to-buffer already does it. | |
7859 | (display-buffer-other-frame): Fix doc-string. | |
7860 | ||
7861 | 2008-09-16 Glenn Morris <rgm@gnu.org> | |
7862 | ||
7863 | * add-log.el (diff-find-source-location): Update declaration. | |
7864 | ||
7865 | * progmodes/make-mode.el (makefile-match-function-end): | |
7866 | Move point. (Bug#983) | |
7867 | ||
7868 | 2008-09-16 Daiki Ueno <ueno@unixuser.org> | |
7869 | ||
7870 | * epg.el (epg-start-verify): Pass "--verify" to gpgsm. | |
7871 | ||
7872 | 2008-09-15 Juanma Barranquero <lekktu@gmail.com> | |
7873 | ||
7874 | * vc-rcs.el (vc-rcs-steal-lock, vc-rcs-checkout): Fix docstring typos. | |
7875 | (vc-rcs-fetch-master-state, vc-rcs-system-release): Doc fixes. | |
7876 | ||
7877 | 2008-09-15 Eduard Wiebe <usenet@pusto.de> (tiny change) | |
7878 | ||
7879 | * vc-rcs.el (vc-rcs-rollback): Fix typos in docstring. | |
7880 | ||
7881 | 2008-09-15 Martin Rudalics <rudalics@gmx.at> | |
7882 | ||
7883 | * files.el (file-truename): Don't raise args-out-of-range error | |
7884 | when filename has no separator on windows-nt. (Bug#982) | |
7885 | ||
7886 | 2008-09-14 Stefan Monnier <monnier@iro.umontreal.ca> | |
7887 | ||
7888 | * diff-mode.el (diff-find-file-name): Rename `batch' to `noprompt' and | |
7889 | be more honest when we don't know. | |
7890 | (diff-tell-file-name): Don't prompt before the actual prompt. | |
7891 | (diff-mode): Don't prompt in add-log-buffer-file-name-function. | |
7892 | (diff-find-source-location): Add `noprompt' argument. | |
7893 | (diff-current-defun): Don't prompt. | |
7894 | ||
7895 | 2008-09-14 Roland Winkler <Roland.Winkler@physik.uni-erlangen.de> | |
7896 | ||
7897 | * proced.el (proced-mark-face, proced-marked-face) | |
7898 | (proced-sort-header-face): Remove. | |
7899 | (proced-font-lock-keywords): Simplify. | |
7900 | (proced-format): Use face proced-sort-header. | |
7901 | (proced-format-interactive, proced-sort-interactive) | |
7902 | (proced-filter-interactive): Only call proced-update if the scheme | |
7903 | has changed. | |
7904 | (proced-sort-header): Use posn-actual-col-row. | |
7905 | ||
7906 | 2008-09-14 Martin Rudalics <rudalics@gmx.at> | |
7907 | ||
7908 | * add-log.el (change-log-find-window): New variable. | |
7909 | (change-log-goto-source-1, change-log-goto-source): | |
7910 | Set change-log-find-window to window displaying source. | |
7911 | (change-log-next-error): Select window specified by | |
7912 | change-log-find-window. | |
7913 | ||
7914 | 2008-09-13 Martin Rudalics <rudalics@gmx.at> | |
7915 | ||
7916 | * frame.el (select-frame-set-input-focus): With focus follows | |
7917 | mouse move mouse cursor to right window. | |
7918 | * window.el (pop-to-buffer): Select window before calling | |
7919 | select-frame-set-input-focus. | |
7920 | ||
7921 | 2008-09-12 Roland Winkler <Roland.Winkler@physik.uni-erlangen.de> | |
7922 | ||
7923 | * proced.el (proced-sort-header): New face. | |
7924 | (proced-sort-header-face): New variable. | |
7925 | (proced-format): Allow format value nil. | |
7926 | Use proced-sort-header-face for header of sort column. | |
7927 | (proced-format-args): New function. | |
7928 | (proced-grammar-alist, proced-timer-flag, proced-process-alist) | |
7929 | (proced-header-help-echo, proced-field-help-echo, proced-timer) | |
7930 | (proced-toggle-timer-flag, proced, proced-mode): Doc fix. | |
7931 | (proced-refine): Rename from proced-filter-attribute. Doc fix. | |
7932 | (proced-sort-header): Bind also to mouse-1. | |
7933 | (proced-move-to-goal-column): Return position of point. | |
7934 | (proced-filter-interactive): Always revert listing. | |
7935 | (proced-format-ttname): Simplify. | |
7936 | (proced-update): Do not keep undo information. Put point at | |
7937 | beginning of buffer if we generate the first listing. | |
7938 | ||
7939 | 2008-09-12 Tassilo Horn <tassilo@member.fsf.org> | |
7940 | ||
7941 | * doc-view.el (doc-view-scroll-up-or-next-page) | |
7942 | (doc-view-scroll-down-or-previous-page): Fix scrolling in case of | |
7943 | vertically split windows. | |
7944 | ||
7945 | 2008-09-12 Glenn Morris <rgm@gnu.org> | |
7946 | ||
7947 | * progmodes/f90.el (f90-mode-map): Don't bind \t and \r. | |
534d37da | 7948 | |
e3d51b27 MR |
7949 | * indent.el (indent-line-function): Doc fix. |
7950 | * progmodes/sh-script.el (sh-font-lock-open-heredoc): Doc fix. | |
7951 | ||
7952 | 2008-09-11 Martin Rudalics <rudalics@gmx.at> | |
7953 | ||
7954 | * window.el (pop-to-buffer): If the window for buffer-or-name is | |
7955 | not on the selected frame, raise that window's frame and give it | |
7956 | input focus. (Bug#745) | |
7957 | ||
7958 | 2008-09-11 Glenn Morris <rgm@gnu.org> | |
7959 | ||
7960 | * ido.el (ido-mode): Initialize with custom-initialize-default, | |
7961 | rather than a set function. (Bug#947) | |
7962 | ||
7963 | 2008-09-10 Chong Yidong <cyd@stupidchicken.com> | |
7964 | ||
7965 | * replace.el (perform-replace): Don't set inhibit-read-only using | |
7966 | query-replace-skip-read-only (bug#956). | |
7967 | ||
7968 | * edmacro.el (edmacro-parse-keys): Fix last change to omit macros | |
7969 | of the <<foo>> form. | |
7970 | ||
7971 | 2008-09-10 Martin Rudalics <rudalics@gmx.at> | |
7972 | ||
7973 | * window.el (display-buffer): Fix doc-string typo. | |
7974 | ||
7975 | 2008-09-10 Kenichi Handa <handa@m17n.org> | |
7976 | ||
7977 | * composite.el (compose-gstring-for-graphic): Fix previous change. | |
7978 | ||
7979 | 2008-09-10 Glenn Morris <rgm@gnu.org> | |
7980 | ||
7981 | * info.el (Info-try-follow-nearest-node): Fix doc typo. | |
7982 | ||
7983 | 2008-09-10 Stephen Berman <stephen.berman@gmx.net> | |
7984 | ||
7985 | * info.el (Info-mouse-follow-nearest-node): Follow links to different | |
7986 | manuals. (Bug#886) | |
7987 | ||
7988 | 2008-09-09 Juanma Barranquero <lekktu@gmail.com> | |
7989 | ||
7990 | * ido.el (ido-unload-function): New function. | |
7991 | ||
7992 | 2008-09-08 Juanma Barranquero <lekktu@gmail.com> | |
7993 | ||
7994 | * ido.el (ido-file-internal): Fix typo in prompt. | |
7995 | (ido-merge-ftp-work-directories, ido-max-work-file-list): Doc fixes. | |
7996 | (ido-max-prospects, ido-max-file-prompt-width, ido-ignore-buffers) | |
7997 | (ido-enable-prefix, ido-setup-hook, ido-rewrite-file-prompt-functions) | |
7998 | (ido-magic-forward-char, ido-magic-delete-char, ido-pop-dir): | |
7999 | Fix typos in docstrings. | |
8000 | ||
8001 | 2008-09-08 Martin Rudalics <rudalics@gmx.at> | |
8002 | ||
8003 | * help-fns.el (describe-function-1): Don't print extra newline | |
8004 | after filling. | |
8005 | ||
8006 | 2008-09-08 Katsumi Yamaoka <yamaoka@jpl.org> | |
8007 | ||
8008 | * help-fns.el (find-lisp-object-file-name): Handle case where | |
8009 | library is compressed. | |
8010 | ||
8011 | 2008-09-07 Chong Yidong <cyd@stupidchicken.com> | |
8012 | ||
8013 | * complete.el (PC-do-completion): Don't replace buffer | |
8014 | contents (bug#227). | |
8015 | ||
8016 | 2008-09-07 Juanma Barranquero <lekktu@gmail.com> | |
8017 | ||
8018 | * loadhist.el (unload-feature-special-hooks): | |
8019 | Add `choose-completion-string-functions'. | |
8020 | ||
8021 | 2008-09-07 Stefan Monnier <monnier@iro.umontreal.ca> | |
8022 | ||
8023 | * vc-svn.el (vc-svn-root): | |
8024 | * vc-sccs.el (vc-sccs-root): | |
8025 | * vc-rcs.el (vc-rcs-root): | |
8026 | * vc-cvs.el (vc-cvs-root): Delete. | |
8027 | * vc-hooks.el (vc-find-root): Remove `invert' argument. | |
8028 | ||
98a326f7 | 8029 | 2008-09-07 Nikolaj Schumacher <n_schumacher@web.de> |
e3d51b27 MR |
8030 | |
8031 | * progmodes/flymake.el (flymake-parse-err-lines): Filter out | |
8032 | errors occurring in different files. | |
8033 | ||
8034 | 2008-09-07 Dan Nicolaescu <dann@ics.uci.edu> | |
8035 | ||
8036 | * vc-bzr.el (vc-bzr-extra-fileinfo): New defstruct. | |
8037 | (vc-bzr-status-printer): New function. | |
8038 | (vc-bzr-after-dir-status): Deal with renamed files. | |
8039 | ||
8040 | 2008-09-07 Johan Euphrosine <proppy@aminche.com> (tiny change) | |
8041 | ||
8042 | * ibuf-ext.el (ibuffer-diff-buffer-with-file-1): Shell quote | |
8043 | buffer's filename. | |
8044 | ||
8045 | 2008-09-07 Martin Rudalics <rudalics@gmx.at> | |
8046 | ||
8047 | * subr.el (cancel-change-group): Widen buffer temporarily when | |
8048 | undoing changes. (Bug#810) | |
8049 | ||
8050 | 2008-09-07 Nick Roberts <nickrob@snap.net.nz> | |
8051 | ||
8052 | * progmodes/gud.el (gud-stop-subjob): Using jdb, suspend threads | |
8053 | with gud-stop-subjob rather than exit debugger. | |
8054 | ||
8055 | 2008-09-07 Kenichi Handa <handa@m17n.org> | |
8056 | ||
8057 | * composite.el: Compose combining characters only when it | |
8058 | follows a character matching with "[[:alpha:]]". | |
8059 | ||
8060 | 2008-09-06 Roland Winkler <Roland.Winkler@physik.uni-erlangen.de> | |
8061 | ||
8062 | * proced.el: Require time-date. | |
8063 | (proced-command-alist, proced-command, proced-goal-header-re) | |
8064 | (proced-sorting-schemes-alist, proced-sorting-scheme) | |
8065 | (proced-header-alist, proced-sorting-schemes-re) | |
8066 | (proced-skip-regexp, proced-next-line, proced-previous-line) | |
8067 | (proced-listing-type, proced-sorting-scheme-p): Remove. | |
8068 | (proced-grammar-alist, proced-custom-attributes) | |
8069 | (proced-format-alist, proced-format, proced-filter-alist) | |
8070 | (proced-filter, proced-sort, proced-goal-attribute) | |
8071 | (proced-timer-interval, proced-timer-flag, proced-timer) | |
8072 | (proced-process-alist, proced-sort-internal, proced-process-tree) | |
8073 | (proced-header-help-echo, proced-field-help-echo): New variables. | |
8074 | (proced-pid-at-point, proced-timer, proced-mark-process-alist) | |
8075 | (proced-omit-process, proced-filter, proced-process-tree) | |
8076 | (proced-filter-children, proced-children-pids) | |
8077 | (proced-filter-parents, proced-<, proced-string-lessp) | |
8078 | (proced-time-lessp, proced-xor, proced-sort-p) | |
8079 | (proced-format-time, proced-format-start, proced-format-ttname) | |
8080 | (proced-format, proced-process-attributes): New functions. | |
8081 | (proced-toggle-timer-flag, proced-mark-children) | |
8082 | (proced-mark-parents, proced-filter-interactive) | |
8083 | (proced-filter-attribute, proced-sort-interactive) | |
8084 | (proced-sort-header, proced-format-interactive): New commands. | |
8085 | (proced-move-to-goal-column): Use goal-column. | |
8086 | (proced-mode): Use proced-timer. | |
8087 | (proced-do-mark-all): Display process count. Use use-region-p. | |
8088 | Simplify. | |
8089 | (proced-omit-processes): Use use-region-p. | |
8090 | (proced-sort-pcpu, proced-sort-pmem, proced-sort-pid) | |
8091 | (proced-sort-start, proced-sort-time, proced-sort-user): | |
8092 | Use proced-sort-interactive. | |
8093 | (proced-sort): Make it a function that performs the actual sort. | |
8094 | (proced-update): New arg revert. Use proced-process-alist, | |
8095 | proced-filter, proced-sort, proced-format, and | |
8096 | proced-grammar-alist. Preserve position of point based on fields. | |
8097 | Make header line and fields clickable. | |
8098 | (proced-send-signal): Use proced-pid-at-point and proced-process-alist. | |
8099 | (proced-why): Use save-selected-window. | |
8100 | (proced-log): Use buffer-read-only. | |
8101 | ||
8102 | 2008-09-06 Chong Yidong <cyd@stupidchicken.com> | |
8103 | ||
8104 | * tooltip.el (tooltip-mode): Initialize unconditionally to t. | |
8105 | ||
8106 | 2008-09-06 Glenn Morris <rgm@gnu.org> | |
8107 | ||
8108 | * add-log.el (diff-find-source-location): Declare. | |
8109 | (find-change-log): If called from a diff buffer, try to switch to the | |
8110 | source buffer (e.g. to respect change-log-default-name there). | |
8111 | ||
8112 | * progmodes/sh-script.el (sh-get-kw): Remove '()' from the list of | |
8113 | unallowed characters; added 2006-10-10 without comment. (Bug#753) | |
8114 | ||
8115 | * Makefile.in (ELCFILES): Update. | |
8116 | ||
8117 | 2008-09-06 Chong Yidong <cyd@stupidchicken.com> | |
8118 | ||
8119 | * textmodes/ispell.el (ispell-command-loop): Suspend ispell if the | |
8120 | user enters a mouse event in another frame, or a special event. | |
8121 | ||
8122 | * server.el (server-switch-buffer): New arg. | |
8123 | (server-execute): If reusing an existing window, set file position | |
8124 | if required. | |
8125 | ||
8126 | 2008-09-06 Kenichi Handa <handa@m17n.org> | |
8127 | ||
8128 | * language/lao.el: Fix setting of composition-function-table. | |
8129 | ||
8130 | 2008-09-06 Eli Zaretskii <eliz@gnu.org> | |
8131 | ||
8132 | * term/pc-win.el (msdos-show-help): Don't truncate lines while | |
8133 | displaying help echo messages. | |
8134 | ||
8135 | 2008-09-06 Martin Rudalics <rudalics@gmx.at> | |
8136 | ||
8137 | * subr.el (symbol-file): Fix doc-string. | |
8138 | ||
8139 | 2008-09-06 Glenn Morris <rgm@gnu.org> | |
8140 | ||
8141 | * help-mode.el (help-xref-forward-stack): Doc fix. | |
8142 | ||
8143 | * add-log.el (change-log-default-name): Autoload safety. | |
8144 | ||
a535b26e | 8145 | 2008-09-05 Wilson Snyder <wsnyder@wsnyder.org> |
e3d51b27 MR |
8146 | |
8147 | * verilog-mode.el (verilog-library-extensions): Enable .sv | |
8148 | filename extensions to call verilog-mode. | |
8149 | (verilog-auto, verilog-auto-inst, verilog-faq) | |
8150 | (verilog-submit-bug-report): Update author support URLs. | |
8151 | (verilog-delete-auto, verilog-auto-inout-module) | |
8152 | (verilog-auto-inout-comp, verilog-auto): Add AUTOINOUTCOMP for | |
8153 | creating complemented testbench modules. Suggested by Yishay Belkind. | |
8154 | (verilog-auto-inst-port, verilog-simplify-range-expression): | |
8155 | When verilog-auto-inst-param-value is set, don't require a | |
8156 | AUTO_TEMPLATE to expand parameter substitutions. Suggested by | |
8157 | Yishay Belkind. | |
8158 | (verilog-auto-inst-param-value): Add safe variable. | |
8159 | (verilog-re-search-forward, verilog-re-search-backward): | |
8160 | Fix returning wrong search results on Emacs 22.1. | |
8161 | (verilog-modi-cache-results, verilog-auto): Fix warning message | |
8162 | about "toggling font-lock-mode." | |
8163 | (verilog-auto): Fix losing font-lock on errors. | |
8164 | (verilog-auto-inst-param-value, verilog-mode-version) | |
8165 | (verilog-mode-version-date, verilog-read-inst-param-value) | |
8166 | (verilog-auto-inst, verilog-auto-inst-param) | |
8167 | (verilog-auto-inst-port, verilog-simplify-range-expression): | |
8168 | Allow parameters to be replaced with their values, on the expansion of | |
8169 | an AUTOINST with Verilog 2001 style parameter settings. | |
8170 | Suggested by David Rogoff. | |
8171 | ||
8172 | 2008-09-05 Michael McNamara <mac@mail.brushroad.com> | |
8173 | ||
8174 | * verilog-mode.el (verilog-beg-block-re-ordered, verilog-calc-1): | |
8175 | Better support for the property statement. Sometimes this keyword | |
8176 | introduces a statement which requires an endproperty keyword, and | |
8177 | sometimes it doesn't, depending on the work before the property | |
8178 | word. If property is prefixed with assert, assume or cover | |
8179 | keyword, then the statement is ended with a ';'. Otherwise, | |
8180 | property is like task or specify, and is followed by some number | |
8181 | of statements, which are ended with an endproperty keyword. | |
8182 | (electric-verilog-tab): Support Emacs 22.2 style handling of tab | |
8183 | in a highlighted region: indent each line in region according to | |
8184 | mode. Supply this so it works in XEmacs and older Emacs. | |
8185 | ||
8186 | 2008-09-05 Vinicius Jose Latorre <viniciusjl@ig.com.br> | |
8187 | ||
8188 | * whitespace.el (whitespace-kill-buffer-hook, whitespace-action): | |
8189 | Don't clean up a buffer when killing it. | |
8190 | ||
8191 | 2008-09-05 Vinicius Jose Latorre <viniciusjl@ig.com.br> | |
8192 | ||
8193 | * whitespace.el: Fix auto-cleanup on kill prevents killing read-only | |
8194 | buffers (bug#360). New version 11.2.1. | |
8195 | (whitespace-action): New value `warn-read-only' to give a warning when | |
8196 | buffer is read-only and whitespace action is cleanup or auto-cleanup. | |
8197 | (whitespace-cleanup, whitespace-cleanup-region): Code fix. | |
8198 | (whitespace-warn-read-only): New fun. | |
8199 | ||
8200 | 2008-09-05 Chong Yidong <cyd@stupidchicken.com> | |
8201 | ||
8202 | * international/quail.el: Require help-mode. | |
8203 | (quail-help-init): Function removed. | |
8204 | (quail-keyboard-layout-button, quail-keyboard-customize-button): | |
8205 | Define directly. | |
8206 | ||
8207 | * dired.el (dired-get-filename): Rewrite octal escape character | |
8208 | processor (bug#885). | |
8209 | ||
8210 | 2008-09-05 Eli Zaretskii <eliz@gnu.org> | |
8211 | ||
8212 | * cus-edit.el (custom-button-pressed): Default to inverse-video. | |
8213 | ||
8214 | * term/pc-win.el (msdos-face-setup): Setup faces for all frames, | |
8215 | not just for terminal-frame. | |
8216 | ||
8217 | 2008-09-05 Martin Rudalics <rudalics@gmx.at> | |
8218 | ||
8219 | * window.el (window--try-to-split-window): Don't split when window | |
8220 | is not splittable in last disjunct. (Bug#888) | |
8221 | ||
8222 | 2008-09-05 Kenichi Handa <handa@m17n.org> | |
8223 | ||
8224 | * language/tibetan.el: Fix setting of composition-function-table. | |
8225 | ||
8226 | * composite.el (find-composition): Mention about the automatic | |
8227 | composition in the docstring. | |
8228 | (compose-gstring-for-graphic): Fix handling "above" marks. | |
8229 | ||
8230 | * descr-text.el (describe-char): Fix handling of automatic composition. | |
8231 | ||
8232 | 2008-09-04 Juanma Barranquero <lekktu@gmail.com> | |
8233 | ||
8234 | * net/tramp-cache.el (tramp-flush-file-function): Fix docstring typo. | |
8235 | (tramp-parse-connection-properties): Reflow docstring. | |
8236 | ||
8237 | 2008-09-04 Kim F. Storm <storm@cua.dk> | |
8238 | ||
8239 | * ido.el (ido-buffer-internal, ido-file-internal): Pass on other-window | |
8240 | and other-frame methods when switching between file and buffer modes. | |
8241 | ||
8242 | 2008-09-04 Martin Rudalics <rudalics@gmx.at> | |
8243 | ||
8244 | * info.el (Info-dir-remove-duplicates): Narrow buffer when | |
8245 | removing duplicate entries under same heading. Don't skip char | |
8246 | matching anything but a space or tab at bol. (Bug#864) | |
8247 | ||
8248 | 2008-09-03 Alan Mackenzie <acm@muc.de> | |
8249 | ||
8250 | * progmodes/cc-defs.el (c-version): Increment to 5.31.6. | |
8251 | ||
8252 | 2008-09-03 Stefan Monnier <monnier@iro.umontreal.ca> | |
8253 | ||
8254 | * minibuffer.el (completion-pcm--pattern->regex): Undo last change. | |
8255 | (completion-pcm--all-completions): Move the case-fold-search binding to | |
8256 | it also applies to completion-regexp-list (used in all-completions). | |
8257 | (completion-pcm--hilit-commonality): Add missing case-fold-search. | |
8258 | ||
8259 | 2008-09-03 Martin Rudalics <rudalics@gmx.at> | |
8260 | ||
8261 | * window.el (pop-up-frame-function): Move ... | |
8262 | * frame.el (pop-up-frame-function): ... here, to avoid | |
8263 | "CHANGED outside Customize;". | |
8264 | ||
8265 | 2008-09-03 Glenn Morris <rgm@gnu.org> | |
8266 | ||
8267 | * buff-menu.el (buffer-menu-mode-hook): Add obsolete alias. | |
8268 | ||
8269 | 2008-09-03 Chong Yidong <cyd@stupidchicken.com> | |
8270 | ||
8271 | * isearch.el (isearch-highlight-regexp): Fix last change, quoting | |
8272 | non-alphabetical characters properly. | |
8273 | ||
8274 | 2008-09-02 Dan Nicolaescu <dann@ics.uci.edu> | |
8275 | ||
8276 | * files.el (auto-mode-alist): Use verilog-mode for SystemVerilog files. | |
8277 | ||
8278 | 2008-09-02 Martin Rudalics <rudalics@gmx.at> | |
8279 | ||
8280 | * calc/calc.el (calc-dispatch-map): Fix handling of upcased and | |
8281 | control-prefixed keys. (Bug#835) | |
8282 | ||
8283 | 2008-09-02 Chong Yidong <cyd@stupidchicken.com> | |
8284 | ||
8285 | * image-mode.el (image-mode): Fix 2008-07-19 change. | |
8286 | ||
8287 | 2008-09-02 Juanma Barranquero <lekktu@gmail.com> | |
8288 | ||
8289 | * tool-bar.el (tool-bar-add-item): Fix typo in docstring. | |
8290 | ||
8291 | * emulation/tpu-edt.el (tpu-kill-buffer): Doc fix. | |
8292 | ||
8293 | 2008-09-02 Glenn Morris <rgm@gnu.org> | |
8294 | ||
8295 | * emacs-lisp/lucid.el (try-face-font): Remove dead alias. | |
8296 | ||
8297 | * progmodes/cc-langs.el (c-opt-op-identitier-prefix): Fix typo. | |
8298 | ||
8299 | 2008-09-01 Glenn Morris <rgm@gnu.org> | |
8300 | ||
8301 | * vc-hooks.el (vc-previous-version): Move alias to vc.el. | |
8302 | * vc.el (vc-default-previous-version): Move alias here from vc-hooks, | |
8303 | and fix name typos. (Bug#856) | |
8304 | ||
8305 | 2008-09-01 Chong Yidong <cyd@stupidchicken.com> | |
8306 | ||
8307 | * minibuffer.el (completion-pcm--pattern->regex): | |
8308 | When completion-ignore-case is non-nil, generate a regexp that | |
8309 | ignores case. | |
8310 | ||
8311 | * window.el (recenter-top-bottom): Doc fix. | |
8312 | ||
8313 | 2008-09-01 Simon South <ssouth@member.fsf.org> | |
8314 | ||
8315 | * progmodes/delphi.el: New maintainer. | |
8316 | (delphi-interface-types): New var. | |
8317 | (delphi-composite-types): Use it. | |
8318 | (delphi-enclosing-indent-of): Distinguish between "interface" | |
8319 | keyword as a unit separator and used like a class declaration. | |
8320 | ||
8321 | 2008-09-01 Martin Rudalics <rudalics@gmx.at> | |
8322 | ||
8323 | * help-fns.el (describe-simplify-lib-file-name) | |
8324 | (find-source-lisp-file): Remove. | |
8325 | (find-lisp-object-file-name): New function giving preference to | |
8326 | files found via load-path instead of loaddefs.el. | |
8327 | (describe-function-1): Use new function instead of the removed | |
8328 | ones. (Bugs #587, #669, #690) | |
8329 | * faces.el (describe-face): Use find-lisp-object-file-name instead | |
8330 | of describe-simplify-lib-file-name. | |
8331 | ||
8332 | 2008-09-01 Kenichi Handa <handa@m17n.org> | |
8333 | ||
8334 | * international/mule-diag.el (font-show-log): Fix previous change. | |
8335 | ||
8336 | * international/mule-cmds.el (set-language-environment): | |
8337 | Don't overwrite current-iso639-language if the current language | |
8338 | environment doesn't provide that data. | |
8339 | (set-locale-environment): Set current-iso639-language from the | |
8340 | locale name. | |
8341 | ||
8342 | * international/fontset.el (script-representative-chars): Re-add | |
8343 | the entry for symbol, but with vector of characters. | |
8344 | (setup-default-fontset): Cancel previous change. | |
8345 | ||
8346 | 2008-08-31 Chong Yidong <cyd@stupidchicken.com> | |
8347 | ||
8348 | * ido.el: Move provide statement to the end of the file. | |
8349 | ||
8350 | 2008-08-30 Markus Triska <markus.triska@gmx.at> | |
8351 | ||
8352 | * linum.el (linum-mode): `window-size-change-functions' can now be | |
8353 | buffer-local. | |
8354 | (linum-update-window): Use result of `move-overlay'. | |
8355 | ||
8356 | 2008-08-30 Glenn Morris <rgm@gnu.org> | |
8357 | ||
8358 | * subr.el (make-variable-frame-local): Tweak obsolescence message. | |
8359 | ||
8360 | * info.el (Info-hide-note-references, Info-refill-paragraphs): | |
8361 | Doc fixes. | |
8362 | ||
8363 | * apropos.el (apropos-command): Report documentation errors. | |
8364 | * help-fns.el (describe-function-1): Handle broken aliases. (Bug#825) | |
8365 | ||
8366 | 2008-08-29 Chong Yidong <cyd@stupidchicken.com> | |
8367 | ||
8368 | * isearch.el (isearch-highlight-regexp): Fix case of highlighted | |
8369 | string. | |
8370 | ||
8371 | 2008-08-29 Eli Zaretskii <eliz@gnu.org> | |
8372 | ||
8373 | * bindings.el (mode-line-frame-identification): Fix last change. | |
8374 | ||
8375 | 2008-08-29 Kenichi Handa <handa@m17n.org> | |
8376 | ||
8377 | These changes are to adjust the automatic composition for the new | |
8378 | implementation (avoid using text property). | |
8379 | ||
8380 | * composite.el (composition-function-table): Move declaration to | |
8381 | composite.c. | |
8382 | (terminal-composition-base-character-p): Delete it. | |
8383 | (terminal-composition-function): Delete it. | |
8384 | (terminal-composition-function-table): Delete it. | |
8385 | (lgstring-header, lgstring-set-header, lgstring-font) | |
8386 | (lgstring-char, lgstring-char-len, lgstring-shaped-p) | |
8387 | (lgstring-set-id, lgstring-glyph, lgstring-glyph-len) | |
8388 | (lgstring-set-glyph, lglyph-from, lglyph-to, lglyph-char) | |
8389 | (lglyph-code, lglyph-width, lglyph-lbearing, lglyph-rbearing) | |
8390 | (lglyph-ascent, lglyph-descent, lglyph-adjustment) | |
8391 | (lglyph-set-from-to, lglyph-copy, lgstring-insert-glyph) | |
8392 | (compose-glyph-string, compose-glyph-string-relative) | |
8393 | (compose-gstring-for-graphic, compose-gstring-for-terminal): | |
8394 | New functions. | |
8395 | (auto-compose-chars): Argument changed. | |
8396 | ||
8397 | * language/european.el: Don't setup composition-function-table. | |
8398 | (diacritic-composition-pattern, diacritic-compose-region) | |
8399 | (diacritic-compose-string, diacritic-compose-buffer) | |
8400 | (diacritic-composition-function): Delete them. | |
8401 | ||
8402 | * language/lao-util.el (lao-composition-function): Argument changed. | |
8403 | ||
8404 | * language/sinhala.el: Fix setting up of composition-function-table. | |
8405 | ||
8406 | * language/thai.el: Fix setting up of composition-function-table. | |
8407 | ||
8408 | * language/thai-util.el: Encoding changed to utf-8. | |
8409 | (thai-composition-function): Argument changed. | |
8410 | ||
8411 | * language/indian.el: Fix setting up of composition-function-table. | |
8412 | (devanagari-composable-pattern) | |
8413 | (tamil-composable-pattern, kannada-composable-pattern) | |
8414 | (malayalam-composable-pattern): New variables. | |
8415 | ||
8416 | * international/characters.el (unicode-category-table): | |
8417 | Setup unicode-category-table. | |
8418 | ||
8419 | * international/fontset.el (setup-default-fontset): Prepend | |
8420 | iso10646-1 fonts to the fallback font groups instead of appending. | |
8421 | ||
8422 | 2008-08-29 Glenn Morris <rgm@gnu.org> | |
8423 | ||
8424 | * cus-start.el (truncate-partial-width-windows): Changed in 23.1. | |
8425 | ||
8426 | 2008-08-28 Chong Yidong <cyd@stupidchicken.com> | |
8427 | ||
8428 | * term/x-win.el (x-win-suspend-error): Don't signal error if there | |
8429 | are no X frames active. | |
8430 | ||
8431 | 2008-08-28 Michael Albinus <michael.albinus@gmx.de> | |
8432 | ||
8433 | * net/xesam.el (xesam-kill-buffer-function): Wrap code by | |
8434 | `ignore-errors' (the function must succeed always). | |
8435 | ||
3590be23 | 8436 | 2008-08-28 Edward O'Connor <hober0@gmail.com> |
e3d51b27 MR |
8437 | |
8438 | * json.el (json-read-number): New arg. Handle explicitly signed | |
8439 | numbers. | |
8440 | (json-readtable): Add `+' and `.'. | |
8441 | ||
8442 | 2008-08-28 Eli Zaretskii <eliz@gnu.org> | |
8443 | ||
8444 | * term/pc-win.el (msdos-previous-message): New variable. | |
8445 | (msdos-show-help): New function. | |
8446 | (msdos-initialize-window-system): Set show-help-function to | |
8447 | msdos-show-help. | |
8448 | ||
8449 | 2008-04-09 Lennart Borgman <lennart.borgman@gmail.com> | |
8450 | ||
8451 | * emacs-lisp/debug.el (debug): When killing a noninteractive | |
8452 | Emacs, give an exit status of -1. | |
8453 | ||
8454 | 2008-08-28 Chong Yidong <cyd@stupidchicken.com> | |
8455 | ||
8456 | * vc-hooks.el (vc-workfile-unchanged-p): Revert last change. | |
8457 | ||
8458 | 2008-08-27 Alex Harsanyi <harsanyi@mac.com> (tiny change) | |
8459 | ||
8460 | * vc-hooks.el (vc-workfile-unchanged-p): If the checkout time is | |
8461 | not the same as the last modification time, fall back on the | |
8462 | backend-specific check. | |
8463 | ||
8464 | 2008-08-27 Teodor Zlatanov <tzz@lifelogs.com> | |
8465 | ||
8466 | * add-log.el (change-log-next-error): Run an extra `pop-to-buffer' | |
8467 | to go to the position of interest. | |
8468 | ||
8469 | 2008-08-27 Tomas Abrahamsson <tab@lysator.liu.se> | |
8470 | ||
8471 | * textmodes/artist.el (artist-mode-init): Add comment on the | |
8472 | setting up of the `artist-replacement-table' array. | |
8473 | (artist-get-replacement-char): New defsubst. | |
8474 | (artist-get-char-at-xy-conv, artist-replace-char) | |
8475 | (artist-replace-chars, artist-replace-string): Use it instead of | |
8476 | accessing `artist-replacement-table' directly. | |
8477 | Reported by Rubén Berenguel <ruben@maia.ub.es>. | |
8478 | ||
8479 | (artist-mt): Fix structures for cut and copy operations. | |
8480 | ||
8481 | 2008-08-27 Chong Yidong <cyd@stupidchicken.com> | |
8482 | ||
8483 | * shell.el (shell-file-name-quote-list): Quote `$'. | |
8484 | ||
8485 | * comint.el (comint-dynamic-complete-as-filename): Generalize last | |
8486 | change to allow quoting of characters before point. | |
8487 | (comint-dynamic-list-filename-completions): Quote common substring. | |
8488 | ||
8489 | 2008-08-26 Chong Yidong <cyd@stupidchicken.com> | |
8490 | ||
8491 | * comint.el (comint-dynamic-complete-as-filename): If case is | |
8492 | ignored while matching, replace the entire filename with completion. | |
8493 | ||
8494 | * textmodes/flyspell.el (flyspell-check-pre-word-p): Make sure | |
8495 | flyspell-pre-point is valid to avoid signalling an error in | |
8496 | post-command-hook. | |
8497 | ||
8498 | 2008-08-26 Johan Bockgård <bojohan@gnu.org> | |
8499 | ||
8500 | * linum.el (linum): Inherit remaining face attributes from default | |
8501 | face. | |
8502 | ||
8503 | 2008-08-26 Markus Triska <markus.triska@gmx.at> | |
8504 | ||
8505 | * linum.el (linum-delay): Disable - it should no longer be | |
8506 | necessary, and can lead to longer delays. | |
8507 | (linum-update-window): Renumber if margin width has changed. | |
8508 | ||
8509 | 2008-08-26 Daiki Ueno <ueno@unixuser.org> | |
8510 | ||
8511 | * epa.el (epa--key-widget-action): Save the selected window to | |
8512 | make `widget-button-click-moves-point' work. (Bug#733). | |
8513 | ||
8514 | 2008-08-26 Glenn Morris <rgm@gnu.org> | |
8515 | ||
8516 | * calendar/holidays.el (calendar-holidays): Doc fix. | |
8517 | ||
8518 | * progmodes/gud.el (tramp-file-name-localname) | |
8519 | (tramp-dissect-file-name): Declare. | |
8520 | ||
8521 | * term/pc-win.el (msdos-setup-keyboard): Fix declaration. | |
8522 | ||
8523 | 2008-08-25 Eli Zaretskii <eliz@gnu.org> | |
8524 | ||
8525 | * bindings.el (mode-line-frame-control): New function, caters to | |
8526 | `pc' ``window system''. | |
8527 | (mode-line-frame-identification): Use it instead of accessing | |
8528 | window-system directly. | |
8529 | ||
8530 | 2008-08-25 Juri Linkov <juri@jurta.org> | |
8531 | ||
8532 | * textmodes/text-mode.el (text-mode-map): Unbind "\es" from | |
8533 | `center-line' and "\eS" from `center-paragraph'. | |
8534 | (facemenu-keymap): Bind "\es" to `center-line' and "\eS" to | |
8535 | `center-paragraph'. | |
8536 | ||
77a01f9b | 8537 | 2008-08-25 Ulf Jasper <ulf.jasper@web.de> |
e3d51b27 MR |
8538 | |
8539 | * net/newst-treeview.el (newsticker-treeview-own-frame): Doc fixed. | |
8540 | (newsticker-treeview-treewindow-width): New. | |
8541 | (newsticker-treeview-listwindow-height): New. | |
8542 | (newsticker-treeview-browse-url-item): New. | |
8543 | (newsticker-treeview-mode-map): | |
8544 | Add newsticker-treeview-browse-url-item. | |
8545 | (newsticker--treeview-window-init): | |
8546 | Use newsticker-treeview-treewindow-width and | |
8547 | newsticker-treeview-listwindow-height. | |
8548 | ||
8549 | * net/newst-reader.el (newsticker-browse-url-item): New. | |
8550 | ||
8551 | 2008-08-25 Dan Nicolaescu <dann@ics.uci.edu> | |
8552 | ||
8553 | * vc-dir.el (vc-dir-marked-only-files-and-states): | |
8554 | (vc-dir-child-files-and-states): Reverse the list before returning it. | |
8555 | ||
8556 | 2008-08-24 Michael Albinus <michael.albinus@gmx.de> | |
8557 | ||
8558 | * net/dbus.el (dbus-introspect): Use `dbus-call-method-non-blocking'. | |
8559 | ||
8560 | 2008-08-24 Romain Francoise <romain@orebokech.com> | |
8561 | ||
8562 | * progmodes/python.el (run-python): Remove '' from sys.path. | |
8563 | ||
8564 | 2008-08-23 Glenn Morris <rgm@gnu.org> | |
8565 | ||
8566 | * progmodes/fortran.el (fortran-tab-mode-string) | |
8567 | (fortran-comment-line-start, fortran-continuation-string): Doc fixes. | |
8568 | ||
8569 | 2008-08-23 Eli Zaretskii <eliz@gnu.org> | |
8570 | ||
8571 | * term/pc-win.el (msdos-setup-keyboard) <msdos-setup-keyboard>: | |
8572 | Add declare-function. | |
8573 | ||
8574 | * startup.el (command-line): Don't call tty-register-default-colors | |
8575 | if initial-window-system is `pc'. | |
8576 | ||
8577 | * term/internal.el (local-function-key-map): Fix unbalanced parens. | |
8578 | (msdos-key-remapping-map): New variable. Move here all the special | |
8579 | keys we will be remapping via local-function-key-map. | |
8580 | (msdos-setup-keyboard): New function. | |
8581 | ||
8582 | * term/pc-win.el (msdos-create-frame-with-faces): Rename from | |
8583 | make-msdos-frame. | |
8584 | (terminal-init-internal): New function, errors out if called. | |
8585 | (msdos-initialize-window-system): New function. | |
8586 | (msdos-create-frame-with-faces): Set the terminal's | |
8587 | `terminal-initted' (sic!) parameter. | |
8588 | (frame-creation-function-alist): Add msdos-create-frame-with-faces. | |
8589 | (window-system-initialization-alist): | |
8590 | Add msdos-initialize-window-system. | |
8591 | (handle-args-function-alist): Use tty-handle-args for `pc' | |
8592 | ``window system'' as well. | |
8593 | (pc-win): Provide. | |
8594 | ||
8595 | * term/tty-colors.el (tty-register-default-colors): Remove bogus | |
8596 | code for using msdos-color-values. | |
8597 | ||
8598 | * loadup.el [ms-dos]: Load term/pc-win. | |
8599 | ||
8600 | 2008-08-23 Dan Nicolaescu <dann@ics.uci.edu> | |
8601 | ||
8602 | * vc-dir.el (vc-dir-prepare-status-buffer): Make sure we use a | |
8603 | directory name. | |
8604 | ||
8605 | 2008-08-23 Nick Roberts <nickrob@snap.net.nz> | |
8606 | ||
8607 | * progmodes/gud.el (gud-common-init): Use absolute file so that | |
8608 | perldb works with tramp (sudo). | |
8609 | ||
8610 | * term/x-win.el (x-gtk-map-stock): Don't let the tool bar destroy | |
8611 | match data in process filters. | |
8612 | ||
8613 | 2008-08-23 Martin Rudalics <rudalics@gmx.at> | |
8614 | ||
8615 | * dired.el (dired-buffer-stale-p): Do not revert buffer that | |
8616 | can be written. | |
8617 | ||
8618 | 2008-08-23 Glenn Morris <rgm@gnu.org> | |
8619 | ||
8620 | * dired-x.el (dired-guess-shell-alist-default): Add .mp3 and .ogg. | |
8621 | (dired-guess-shell-alist-user): Doc fix. (Bug#417). | |
8622 | ||
8623 | 2008-08-23 Chong Yidong <cyd@stupidchicken.com> | |
8624 | ||
8625 | * subr.el (temp-buffer-show-hook): Doc fix. | |
8626 | ||
8627 | 2008-08-22 Michael Albinus <michael.albinus@gmx.de> | |
8628 | ||
8629 | * net/tramp.el (tramp-remote-process-environment): Add "EMACS=t" | |
8630 | and "INSIDE_EMACS=...". Reported by Tassilo Horn | |
8631 | <tassilo@member.fsf.org>. | |
8632 | ||
8633 | * net/trampver.el: Update release number. | |
8634 | ||
8635 | * net/xesam.el (xesam-minor-mode): New minor mode. | |
8636 | (xesam-highlight-buffer): Remove. Code moved to | |
8637 | `xesam-minor-mode'. | |
8638 | (xesam-refresh-entry): Use `xesam-minor-mode'. | |
8639 | ||
8640 | 2008-08-21 Chong Yidong <cyd@stupidchicken.com> | |
8641 | ||
8642 | * minibuffer.el (completion--try-word-completion): | |
8643 | Disable partial-completion when considering the addition of a space | |
8644 | or hyphen. | |
8645 | ||
8646 | 2008-08-21 John Paul Wallington <jpw@pobox.com> | |
8647 | ||
8648 | * mail/rmail.el (rmail-insert-inbox-text): Ensure that the | |
8649 | filename of `tofile' doesn't contain any colons on Windows, | |
8650 | Cygwin, and MS-DOS systems. | |
8651 | ||
8652 | 2008-08-21 Daiki Ueno <ueno@unixuser.org> | |
8653 | ||
8654 | * epg.el (epg-make-context, epg-context-set-passphrase-callback) | |
8655 | (epg-context-set-progress-callback): Make sure the callback is a | |
8656 | cons of a function and a handback. Update all callers. | |
8657 | ||
8658 | 2008-08-20 David Reitter <david.reitter@gmail.com> | |
8659 | ||
8660 | * term/ns-win.el (ns-cursor-blink-rate, ns-cursor-blink-mode): Remove. | |
8661 | Patch applied by Adrian Robert. | |
8662 | ||
8663 | 2008-08-20 Kevin Ryde <user42@zip.com.au> | |
8664 | ||
8665 | * textmodes/nroff-mode.el (nroff-mode): Avoid auto-filling on | |
8666 | directive lines. | |
8667 | ||
8668 | 2008-08-20 Michael Albinus <michael.albinus@gmx.de> | |
8669 | ||
8670 | * net/xesam.el (xesam-highlight-string): Precise doc string. | |
8671 | (xesam-highlight-buffer): New defun. | |
8672 | (xesam-refresh-entry): Use it. Better check for sourceModified. | |
8673 | ||
8674 | 2008-08-19 Chong Yidong <cyd@stupidchicken.com> | |
8675 | ||
8676 | * edmacro.el (edmacro-parse-keys): Catch events with spaces in | |
8677 | their names. | |
8678 | ||
8679 | 2008-08-19 Kenichi Handa <handa@m17n.org> | |
8680 | ||
8681 | * language/european.el ("Esperanto"): Change the preferred | |
8682 | charsets to iso-8859-3. | |
8683 | ||
8684 | * language/utf-8-lang.el ("UTF-8"): Add the preferred charsets. | |
8685 | ||
8686 | * international/fontset.el (script-representative-chars): | |
8687 | Delete the entry for symbol. | |
8688 | (setup-default-fontset): For symbol characters, don't specify | |
8689 | :script property. | |
8690 | ||
8691 | 2008-08-19 Chong Yidong <cyd@stupidchicken.com> | |
8692 | ||
8693 | * minibuffer.el (completion-table-dynamic): Doc fix. | |
8694 | ||
8695 | * cus-edit.el (custom-toggle-hide): Allow hiding only if widget is | |
8696 | saved. | |
8697 | ||
8698 | 2008-08-18 Chong Yidong <cyd@stupidchicken.com> | |
8699 | ||
8700 | * emulation/edt.el (edt-default-emulation-setup): | |
8701 | Share global-buffers-menu-map with the emulated global map. | |
8702 | ||
8703 | 2008-08-18 Adrian Robert <Adrian.B.Robert@gmail.com> | |
8704 | ||
8705 | * term/ns-win.el (global): Uncomment "put backspace | |
8706 | ascii-character..." and friends, they are needed for tramp. (Bug#725) | |
8707 | ||
8708 | 2008-08-18 Kenichi Handa <handa@m17n.org> | |
8709 | ||
8710 | * international/mule-diag.el (font-show-log): Add optional arg N | |
8711 | to control the limit of font listing. | |
8712 | ||
8713 | 2008-08-18 Chong Yidong <cyd@stupidchicken.com> | |
8714 | ||
8715 | * window.el (recenter-top-bottom): Determine top and bottom | |
8716 | positions using scroll-margin instead of scroll-conservatively. | |
8717 | ||
8718 | 2008-08-17 Roland Winkler <Roland.Winkler@physik.uni-erlangen.de> | |
8719 | ||
8720 | * proced.el (proced-send-signal): Use beginning-of-line. | |
8721 | ||
8722 | 2008-08-17 Roland Winkler <Roland.Winkler@physik.uni-erlangen.de> | |
8723 | ||
8724 | * proced.el (proced-signal-list): Add POSIX 1003.1-2001 signals. | |
8725 | (proced-mode-map): Add tooltips for menus. Use radio buttons for | |
8726 | listing types. | |
8727 | (proced-log-buffer): New variable. | |
8728 | (proced-mark-all, proced-unmark-all, proced-do-mark-al): Operate on | |
8729 | region if transient-mark-mode is turned on and the region is active. | |
8730 | (proced-omit-processes): Rename from proced-hide-processes to | |
8731 | avoid key clash with describe-mode (bound to h). Search for | |
8732 | marked processes starting from point-min. | |
8733 | (proced-header-space): Remove. | |
8734 | (proced-send-signal): Handle errors. Operate on current process | |
8735 | if no process is marked. | |
8736 | (proced-why): New command. | |
8737 | (proced-log, proced-log-summary): New functions. | |
8738 | (proced-help): Use proced-why. | |
8739 | * textmodes/bibtex.el (bibtex-entry-format): Mark as safe. | |
8740 | (bibtex-autokey-year-title-separator): Fix docstring. | |
8741 | ||
8742 | 2008-08-17 Michael Albinus <michael.albinus@gmx.de> | |
8743 | ||
8744 | * net/xesam.el (xesam-vendor, xesam-notify-function): | |
8745 | New local variables. | |
8746 | (xesam-mode): Extend docstring. Initialize `xesam-notify-function'. | |
8747 | (xesam-refresh-entry): Use `xesam-notify-function' if set. Remove | |
8748 | Debbugs specific code; it shall be enabled via `xesam-mode-hooks'. | |
8749 | (xesam-new-search): Initialize `xesam-vendor'. | |
8750 | ||
8751 | 2008-08-15 Glenn Morris <rgm@gnu.org> | |
8752 | ||
8753 | * eshell/esh-cmd.el (eshell-do-pipelines): Indicate the last command in | |
8754 | a pipeline. | |
8755 | * eshell/em-ls.el (eshell-ls-files): List one per line in a pipeline, | |
8756 | unless at the end of the pipeline. (Bug#699). | |
8757 | ||
8758 | 2008-08-14 Chong Yidong <cyd@stupidchicken.com> | |
8759 | ||
8760 | * minibuffer.el (completion-boundaries): Doc fix. | |
8761 | (display-completion-list): Only default base-size to zero if | |
8762 | completing in the minibuffer. | |
8763 | ||
8764 | * simple.el (completion-base-size): Doc fix. | |
8765 | (choose-completion): Try reselecting completion-reference-buffer. | |
8766 | ||
8767 | 2008-08-14 Michael Albinus <michael.albinus@gmx.de> | |
8768 | ||
8769 | * vc-dispatcher.el (vc-do-command): Let asynchronous processes run | |
8770 | also for remote directories. | |
8771 | ||
8772 | 2008-08-14 Stefan Monnier <monnier@iro.umontreal.ca> | |
8773 | ||
8774 | * emacs-lisp/easymenu.el (easy-menu-add): Don't precompute keybindings | |
8775 | since those precomputed values aren't used any more. | |
8776 | (easy-menu-precalculate-equivalent-keybindings): Obsolete. | |
8777 | ||
8778 | 2008-08-14 Martin Rudalics <rudalics@gmx.at> | |
8779 | ||
8780 | * help.el (with-help-window): Return last value in BODY. | |
8781 | ||
8782 | 2008-08-14 Michael Albinus <michael.albinus@gmx.de> | |
8783 | ||
8784 | * net/xesam.el (xesam-refresh-entry): Use `save-excursion' in the | |
8785 | notify function of the URL. | |
8786 | (xesam-new-search): Encode special characters in the query string. | |
8787 | ||
8788 | 2008-08-13 Chong Yidong <cyd@stupidchicken.com> | |
8789 | ||
8790 | * term/ns-win.el (ns-parse-geometry): Rename from x-parse-geometry. | |
8791 | ||
8792 | 2008-08-13 Andreas Schwab <schwab@suse.de> | |
8793 | ||
8794 | * net/tramp.el (tramp-handle-shell-command): Handle output going | |
8795 | to current buffer like shell-command. Don't disable undo. | |
8796 | ||
8797 | 2008-08-13 Glenn Morris <rgm@gnu.org> | |
8798 | ||
8799 | * eshell/esh-cmd.el (eshell/which): Handle the case where no | |
8800 | description is found. | |
8801 | ||
8802 | 2008-08-12 Alan Mackenzie <acm@muc.de> | |
8803 | ||
8804 | * progmodes/cc-defs.el (c-emacs-features): | |
8805 | argumentative-bod-function: bind mark-ring to avoid accumulating a | |
8806 | spurious mark. | |
8807 | ||
8808 | 2008-08-12 Chong Yidong <cyd@stupidchicken.com> | |
8809 | ||
8810 | * simple.el (visual-line--saved-state): New var. | |
8811 | (visual-line-mode): Save local values of variables, and restore | |
8812 | them when visual-line-mode is disabled. | |
8813 | ||
8814 | 2008-08-12 NAKAGAWA Makoto <gha03025@nifty.ne.jp> (tiny change) | |
8815 | ||
8816 | * net/ldap.el (ldap-search-internal): Tweak URL regexp. | |
8817 | ||
8818 | 2008-08-11 Chong Yidong <cyd@stupidchicken.com> | |
8819 | ||
8820 | * progmodes/cc-defs.el (c-emacs-features): | |
8821 | Check beginning-of-defun-raw instead of beginning-of-defun, and avoid | |
8822 | moving point during the test. | |
8823 | ||
8824 | * emacs-lisp/lisp.el (beginning-of-defun): Doc fix. | |
8825 | ||
8826 | 2008-08-11 Glenn Morris <rgm@gnu.org> | |
8827 | ||
8828 | * term/ns-win.el (x-parse-geometry): Doc fix. | |
8829 | ||
8830 | * dired.el (x-popup-menu): | |
8831 | * hi-lock.el (x-popup-menu): | |
8832 | * mouse.el (font-face-attributes): | |
8833 | * calendar/cal-menu.el (x-popup-menu): | |
8834 | * calendar/calendar.el (x-popup-menu): | |
8835 | * calendar/holidays.el (x-popup-menu): | |
8836 | * progmodes/cperl-mode.el (x-popup-menu): | |
8837 | * term/ns-win.el (dnd-open-file, tool-bar-mode, scroll-bar-scale) | |
8838 | (x-open-connection): | |
8839 | * term/w32-win.el (x-colors, x-handle-args, x-parse-geometry) | |
8840 | (x-command-line-resources): | |
8841 | * term/x-win.el (x-colors, x-parse-geometry, x-resource-name) | |
8842 | (x-display-name, x-command-line-resources): | |
8843 | Add declarations for builds without X. | |
8844 | ||
8845 | 2008-08-10 Glenn Morris <rgm@gnu.org> | |
8846 | ||
8847 | * calendar/cal-bahai.el (holiday-bahai): Doc fix. | |
8848 | ||
8849 | 2008-08-10 Dan Nicolaescu <dann@ics.uci.edu> | |
8850 | ||
8851 | * vc-bzr.el (vc-bzr-find-revision): Rename from | |
8852 | vc-bzr-find-version, forgotten in the 2007-10-10 renaming. | |
8853 | ||
8854 | 2008-08-10 Michael Albinus <michael.albinus@gmx.de> | |
8855 | ||
8856 | * net/xesam.el (xesam-search-engines): Add Debbugs hit fields. | |
8857 | (xesam-refresh-entry): Add Debbugs handling. Insert a widget | |
8858 | "DONE", when all hits are retrieved. | |
8859 | (xesam-search): Autoload it. | |
8860 | ||
8861 | 2008-08-11 John Paul Wallington <jpw@pobox.com> | |
8862 | ||
8863 | * ibuffer.el (ibuffer-buffer-file-name): Return nil rather than | |
8864 | empty string when no filename. | |
8865 | (define-ibuffer-column filename): Accommodate that change. | |
8866 | ||
8867 | * ibuf-ext.el (ibuffer-define-filter filename): Use plain old | |
8868 | `buffer-file-name' instead of `ibuffer-buffer-file-name'. | |
8869 | ||
8870 | 2008-08-10 Glenn Morris <rgm@gnu.org> | |
8871 | ||
8872 | * Makefile.in (ELCFILES): Update. | |
8873 | ||
8874 | 2008-08-10 John Paul Wallington <jpw@pobox.com> | |
8875 | ||
8876 | * pcvs.el (defun-cvs-mode): Add `doc-string' decl. | |
8877 | ||
8878 | 2008-08-08 Chong Yidong <cyd@stupidchicken.com> | |
8879 | ||
8880 | * battery.el (battery-echo-area-format, battery-status-function): | |
8881 | Handle new Linux sysfs format for battery reporting. | |
8882 | (battery-linux-sysfs): New function. | |
8883 | ||
8884 | 2008-08-07 Martin Rudalics <rudalics@gmx.at> | |
8885 | ||
8886 | * add-log.el (change-log-search-tag-name) | |
8887 | (change-log-goto-source): Fix behavior when point is not on tag. | |
8888 | ||
8889 | 2008-08-06 Adrian Robert <Adrian.B.Robert@gmail.com> | |
8890 | ||
8891 | * startup.el (command-line-ns-option-alist): Use ignore instead of | |
8892 | ns-ignore-0-arg. | |
8893 | ||
8894 | * term/ns-win.el (ns-ignore-0-arg, mouse-extend-region) | |
8895 | (ns-win-suspend-error, ns-yes-or-no-p, colors): Drop. | |
8896 | (ns-alternatives-map): New variable. | |
8897 | (x-set-up-function-keys): Use it. | |
8898 | (global): Comment out "put backspace ascii-character..." and friends. | |
8899 | Move function-key-map into ns-alternatives-map. Don't call | |
8900 | precompute-menubar-bindings. Don't set anything connected with | |
8901 | browse-url. | |
8902 | (after-make-frame-functions): Clarify comment why a hook is added. | |
8903 | ||
8904 | 2008-08-06 Joakim Verona <joakim@verona.se> | |
8905 | ||
8906 | * thingatpt.el (thing-at-point-url-path-regexp): Allow parenthesis | |
8907 | in url:s. | |
8908 | ||
8909 | 2008-08-06 Dan Nicolaescu <dann@ics.uci.edu> | |
8910 | ||
8911 | * vc-svn.el (vc-svn-parse-status): Use when instead of if. | |
8912 | Fix 2008-01-01 change: use a shy group to not affect subsequent | |
8913 | match calls. | |
8914 | ||
8915 | 2008-08-06 Reto Zimmermann <reto@gnu.org> | |
8916 | ||
8917 | * progmodes/vera-mode.el (vera-electric-tab): | |
8918 | * progmodes/vhdl-mode.el (vhdl-electric-tab): Make TAB indent | |
8919 | region when active. | |
8920 | ||
8921 | 2008-08-06 Kenichi Handa <handa@m17n.org> | |
8922 | ||
8923 | * faces.el (face-valid-attribute-values): Fix handling the value | |
8924 | of (font-family-list) and font-XXX-table. | |
8925 | ||
8926 | 2008-08-06 Dan Nicolaescu <dann@ics.uci.edu> | |
8927 | ||
8928 | * proced.el (proced-menu): Bind the correct function to for toggling. | |
8929 | ||
8930 | * vc-dir.el (vc-dir-mode): Fix typo. | |
8931 | ||
8932 | 2008-08-06 Vinicius Jose Latorre <viniciusjl@ig.com.br> | |
8933 | ||
8934 | * whitespace.el (whitespace-newline-mode) | |
8935 | (global-whitespace-newline-mode, whitespace-mode) | |
8936 | (global-whitespace-mode): Improve docstring. | |
8937 | ||
8938 | 2008-08-05 Chong Yidong <cyd@stupidchicken.com> | |
8939 | ||
8940 | * kmacro.el (kmacro-exec-ring-item): Add autoload. | |
8941 | ||
8942 | * files.el (auto-save-visited-file-name): Move definition to fileio.c. | |
8943 | ||
8944 | * cus-start.el (all): Handle auto-save-visited-file-name. | |
8945 | ||
8946 | 2008-08-05 Alex Shinn <alexshinn@gmail.com> (tiny change) | |
8947 | ||
8948 | * files.el (hack-one-local-variable-eval-safep): Handle | |
8949 | lisp-indent-function and scheme-indent-function in addition to the | |
8950 | deprecated lisp-indent-hook. | |
8951 | ||
8952 | 2008-08-05 Michael Albinus <michael.albinus@gmx.de> | |
8953 | ||
8954 | * net/xesam.el (top): Require `wid-edit' always. | |
8955 | (xesam-mode-line, xesam-highlight): New deffaces. | |
8956 | (xesam-objects): New local variable. | |
8957 | (xesam-search-engines): Fix error in field list. | |
8958 | (xesam-mode): Use `xesam-mode-line' instead of | |
8959 | `font-lock-type-face'. Apply `xesam-mode' to a temp buffer, for | |
8960 | proper initialization (why?). | |
8961 | (xesam-highlight-string, xesam-get-hits) | |
8962 | (xesam-kill-buffer-function): New defuns. | |
8963 | (xesam-refresh-entry): Move code to `xesam-get-hits'. Check | |
8964 | result of "hit.fields", it isn't a string only. Wrap an error of | |
8965 | the strigi search engine ("xesam:size" is returned as string). | |
8966 | Highlight search items. | |
8967 | (xesam-refresh-search-buffer): Make logic of counters more simple. | |
8968 | Prefetch next hits. | |
8969 | (xesam-signal-handler): Use `xesam-mode-line' instead of | |
8970 | `font-lock-type-face'. | |
8971 | (xesam-new-search): Add `xesam-kill-buffer-function' to | |
8972 | `kill-buffer-hook'. | |
8973 | ||
8974 | 2008-08-05 Teodor Zlatanov <tzz@lifelogs.com> | |
8975 | ||
8976 | * add-log.el (change-log-next-error, change-log-mode): Add support | |
8977 | for `next-error' to call `change-log-goto-source' on named files | |
8978 | in a ChangeLog. | |
8979 | ||
8980 | 2008-08-05 Jay Belanger <jay.p.belanger@gmail.com> | |
8981 | ||
8982 | * calc/calc.el (math-read-number): Handle all C-like languages | |
8983 | properly. | |
8984 | ||
8985 | 2008-08-04 Vincent Belaïche <vincent.b.1@hotmail.fr> | |
8986 | ||
8987 | * calc/calc.el (math-read-number): Handle C numbers beginning with | |
8988 | 0 correctly. | |
8989 | ||
8990 | 2008-07-27 Adrian Robert <Adrian.B.Robert@gmail.com> | |
8991 | ||
8992 | * term/ns-win.el ([ns-new-frame]): New global key. | |
8993 | ||
8994 | 2008-08-04 Juanma Barranquero <lekktu@gmail.com> | |
8995 | ||
8996 | * international/mule-cmds.el (read-char-by-name): | |
8997 | Check UCS names with `assoc-string' and case folding. | |
8998 | ||
8999 | 2008-08-04 Michael Albinus <michael.albinus@gmx.de> | |
9000 | ||
9001 | * net/dbus.el (dbus-call-method-asynchronously) | |
9002 | (dbus-method-return-internal, dbus-method-error-internal): | |
9003 | Declare them with `declare-function'. | |
9004 | ||
9005 | 2008-08-04 Juanma Barranquero <lekktu@gmail.com> | |
9006 | ||
9007 | * descr-text.el (describe-char-display): Fix last change: | |
9008 | don't pass CHARSET to `encoded-string-description'. | |
9009 | ||
9010 | 2008-08-02 Alex Harsanyi <harsanyi@mac.com> (tiny change) | |
9011 | ||
9012 | * vc.el (vc-next-action): Update list var correctly after delete. | |
9013 | ||
9014 | 2008-08-02 Chong Yidong <cyd@stupidchicken.com> | |
9015 | ||
9016 | * ido.el (ido-mode): Add toggle notification. | |
9017 | ||
9018 | * cus-edit.el (custom-save-all): Avoid destroying symlink if | |
9019 | already visiting the custom file. | |
9020 | ||
9021 | * pgg-gpg.el (pgg-gpg-process-region): Accept any remaining | |
9022 | pending output coming after the status change. | |
9023 | ||
9024 | 2008-08-02 Jason Rumney <jasonr@gnu.org> | |
9025 | ||
9026 | * w32-fns.el (tis620-2533): Use as preferred registry for thai fonts. | |
9027 | ||
9028 | 2008-08-01 Kenichi Handa <handa@m17n.org> | |
9029 | ||
9030 | * international/mule-cmds.el (encode-coding-char): | |
9031 | New optional arg CHARSET. | |
9032 | ||
9033 | * descr-text.el (describe-char-display): Call encode-coding-char | |
9034 | with the arg CHARSET. | |
9035 | (describe-char): Pay attention to the text-property `charset'. | |
9036 | ||
9037 | 2008-08-01 Michael Albinus <michael.albinus@gmx.de> | |
9038 | ||
9039 | * net/dbus.el (dbus-check-event, dbus-handle-event): Handle D-Bus | |
9040 | error messages. | |
9041 | (dbus-set-property): Call `dbus-introspect-get-property' instead | |
9042 | of `dbus-get-property'. | |
9043 | ||
9044 | * net/xesam.el (xesam-all-fields): Remove source and content | |
9045 | identifiers. | |
9046 | (xesam-dbus-unique-names): New defvar. | |
9047 | (xesam-dbus-call-method): New defun. Replace all calls of | |
9048 | `dbus-call-method' by `xesam-dbus-call-method'. | |
9049 | (xesam-get-cached-property, xesam-set-cached-property): | |
9050 | New defuns. | |
9051 | (xesam-get-property, xesam-set-property, xesam-refresh-entry) | |
9052 | (xesam-new-search, xesam-search): Apply `xesam-get-cached-property'. | |
9053 | (xesam-search-engines): Make it an association list. Take changed | |
9054 | layout into account in the corresponding function. | |
9055 | (xesam-delete-search-engine): Remove check for consistency of | |
9056 | `xesam-search-engines', not needed anymore. | |
9057 | (xesam-mode): Show XML query string only in the debug case. | |
9058 | ||
9059 | 2008-07-31 Juanma Barranquero <lekktu@gmail.com> | |
9060 | ||
9061 | * files.el (read-file-modes): Fix typo in docstring. | |
9062 | ||
9063 | 2008-07-31 Chong Yidong <cyd@stupidchicken.com> | |
9064 | ||
9065 | * Makefile.in: Avoid maintainer warning for autogenerated unicode | |
9066 | files. | |
9067 | ||
9068 | * apropos.el (apropos-symbol): Don't set button skip by default. | |
9069 | (apropos-print): Set button skip iff searching for multiple types. | |
9070 | (apropos-print-doc): Insert blank label button when searching for | |
9071 | a single type. | |
9072 | ||
9073 | * button.el (forward-button): Avoid infloop. | |
9074 | ||
9075 | * minibuffer.el (read-file-name-completion-ignore-case): | |
9076 | Add cygwin to the list. | |
9077 | ||
9078 | 2008-07-31 Sven Joachim <svenjoac@gmx.de> | |
9079 | ||
9080 | * files.el (abbreviate-file-name): When replacing $HOME with ~, | |
9081 | turn off case-fold-search. | |
9082 | ||
9083 | 2008-07-31 Michael Albinus <michael.albinus@gmx.de> | |
9084 | ||
9085 | * net/dbus.el (top): Don't register for "NameOwnerChanged". | |
9086 | (dbus-message-type-invalid, dbus-message-type-method-call) | |
9087 | (dbus-message-type-method-return, dbus-message-type-error) | |
9088 | (dbus-message-type-signal): New defconst. | |
9089 | (dbus-ignore-errors): Fix `edebug-form-spec' property. | |
9090 | (dbus-return-values-table): New defvar. | |
9091 | (dbus-call-method-non-blocking-handler, dbus-event-message-type): | |
9092 | New defun. | |
9093 | (dbus-check-event, dbus-handle-event, dbus-event-serial-number): | |
9094 | Extend docstring. Adapt implementation according to new | |
9095 | `dbus-event' layout. | |
9096 | (dbus-event-service-name, dbus-event-path-name) | |
9097 | (dbus-event-interface-name, dbus-event-member-name): | |
9098 | Adapt implementation according to new `dbus-event' layout. | |
9099 | (dbus-set-property): Correct `dbus-introspect-get-attribute' call. | |
9100 | ||
9101 | * net/xesam.el (xesam-type, xesam-query, xesam-xml-string): New defvar. | |
9102 | (xesam-mode): Rework implementation. | |
9103 | (xesam-new-search): Additional parameter TYPE. | |
9104 | (xesam-search): Adapt call of `xesam-new-search'. | |
9105 | ||
9106 | 2008-07-31 Juri Linkov <juri@jurta.org> | |
9107 | ||
9108 | * dired-aux.el (dired-do-chmod): Set default value to the original | |
9109 | mode string using absolute notation like u=rwx,g=rx,o=rx. | |
9110 | Use it as a new arg `default' of `dired-mark-read-string'. | |
9111 | (dired-mark-read-string): Add new optional arg `default'. | |
9112 | ||
9113 | * files.el (read-file-modes): Set default value to the original | |
9114 | mode string using absolute notation like u=rwx,g=rx,o=rx. | |
9115 | Use it as the `default' arg of `read-string'. | |
9116 | ||
9117 | * filesets.el (filesets-cmd-isearch-getargs): Check if the | |
9118 | variable `files' is bound to avoid warnings. | |
9119 | ||
9120 | * isearch.el (isearch-forward-regexp, isearch-forward-word) | |
9121 | (isearch-backward, isearch-backward-regexp): Doc fix - make a link | |
9122 | to the command `isearch-forward' instead of displaying "See C-s". | |
9123 | (isearch-highlight-regexp): Remove arguments `regexp' and `face' | |
9124 | with their interactive specifications. Move reading the face name to | |
9125 | the main body after calls to isearch-done and isearch-clean-overlays. | |
9126 | ||
9127 | * mb-depth.el: Unify all names under one common name prefix | |
9128 | `minibuffer-depth-'. | |
9129 | (minibuffer-depth-indicator-function): Rename from | |
9130 | `minibuf-depth-indicator-function'. | |
9131 | (minibuffer-depth-overlay): Rename from | |
9132 | `minibuf-depth-overlay'. | |
9133 | (minibuffer-depth-setup): Rename from | |
9134 | `minibuf-depth-setup-minibuffer'. | |
9135 | (minibuffer-depth-indicate-mode): Rename from | |
9136 | `minibuffer-indicate-depth-mode'. | |
9137 | ||
9138 | 2008-07-31 Juri Linkov <juri@jurta.org> | |
9139 | ||
9140 | * dired-aux.el (dired-isearch-filenames-toggle): New command. | |
9141 | (dired-isearch-filenames-setup): Bind "\M-sf" to | |
9142 | dired-isearch-filenames-toggle in isearch-mode-map. | |
9143 | (dired-isearch-filenames-end): Bind "\M-sf" to nil | |
9144 | in isearch-mode-map. | |
9145 | ||
9146 | * isearch.el (isearch-edit-string-set-word): New command. | |
9147 | (minibuffer-local-isearch-map): Bind "\C-w" to | |
9148 | isearch-edit-string-set-word. | |
9149 | (isearch-new-word): Temporary internal variable. | |
9150 | (isearch-edit-string): Remove special case of reading the | |
9151 | first character and checking it for C-w. | |
9152 | ||
9153 | * simple.el (read-shell-command, shell-command): | |
9154 | Move code that uses minibuffer-with-setup-hook to set | |
9155 | minibuffer-default-add-function to minibuffer-default-add-shell-commands | |
9156 | from the interactive spec of `shell-command' to `read-shell-command'. | |
9157 | ||
9158 | * international/mule-cmds.el (read-char-by-name): | |
9159 | Accept hash notation. Doc fix. | |
9160 | (ucs-insert): Doc fix. Convert to number only when `arg' is | |
9161 | a string. Use separate error message when `arg' is not an integer. | |
9162 | Bind `ucs-insert' to `C-x 8 RET'. | |
9163 | ||
9164 | 2008-07-31 Joachim Nilsson <joachim.nilsson@member.fsf.org> (tiny change) | |
9165 | ||
9166 | * progmodes/cc-styles.el (c-style-alist): For the Ellemtel style, | |
9167 | move the (arglist-cont-nonempty) from c-offsets-alist to | |
9168 | c-hanging-braces-alist like other styles already have. | |
9169 | ||
9170 | 2008-07-31 Dan Nicolaescu <dann@ics.uci.edu> | |
9171 | ||
9172 | * textmodes/texinfmt.el: | |
9173 | * nxml/nxml-enc.el: | |
9174 | * mail/feedmail.el: | |
9175 | * international/mule.el: | |
9176 | * international/latexenc.el: | |
9177 | * emulation/viper-util.el: | |
9178 | * emulation/viper-init.el: | |
9179 | * emulation/viper-ex.el: | |
9180 | * emacs-lisp/bytecomp.el: | |
9181 | * version.el: | |
9182 | * subr.el: | |
9183 | * startup.el: | |
9184 | * sort.el: | |
9185 | * shadowfile.el: | |
9186 | * recentf.el: | |
9187 | * printing.el: | |
9188 | * paths.el: | |
9189 | * minibuffer.el: | |
9190 | * ls-lisp.el: | |
9191 | * loadup.el: | |
9192 | * hippie-exp.el: | |
9193 | * finder.el: | |
9194 | * files.el: | |
9195 | * ediff-util.el: | |
9196 | * ediff-ptch.el: | |
9197 | * ediff-init.el: | |
9198 | * ediff-diff.el: | |
9199 | * dired.el: | |
9200 | * dired-aux.el: | |
9201 | * cus-edit.el: | |
9202 | * bindings.el: | |
9203 | * arc-mode.el: | |
9204 | * add-log.el: Remove VMS support. | |
9205 | * obsolete/vmsproc.el: | |
9206 | * obsolete/vms-pmail.el: | |
9207 | * obsolete/vms-patch.el: Remove file. | |
9208 | ||
9209 | 2008-07-31 Alan Mackenzie <acm@muc.de> | |
9210 | ||
9211 | * progmodes/cc-mode.el (c-before-hack-hook): New function | |
9212 | (Top Level): Install c-before-hack-hook on | |
9213 | before-hack-local-variables-hook, rather than | |
9214 | c-postprocess-file-styles on hack-local-variables-hook. | |
9215 | ||
9216 | 2008-07-31 Chong Yidong <cyd@stupidchicken.com> | |
9217 | ||
9218 | * files.el (normal-mode): Move call to hack-project-variables into | |
9219 | hack-local-variables. | |
9220 | (file-local-variables-alist, before-hack-local-variables-hook): | |
9221 | New vars. | |
9222 | (ignored-local-variables): Add file-local-variables-alist. | |
9223 | (hack-local-variables-filter): Renamed from | |
9224 | hack-local-variables-apply. Add the result to | |
9225 | file-local-variables-alist, without applying them. | |
9226 | (hack-local-variables): Set file-local-variables-alist to nil. | |
9227 | Call hack-project-variables and before-hack-local-variables-hook. | |
9228 | Apply variables here, instead of hack-local-variables-apply. | |
9229 | Based on a patch by Alan Mackenzie. | |
9230 | ||
9231 | 2008-07-30 Thien-Thi Nguyen <ttn@gnuvola.org> | |
9232 | ||
9233 | * info-look.el (autoconf-mode :doc-spec): For | |
9234 | "(autoconf)M4 Macro Index", if the item already | |
9235 | begins with "AS_", don't prefix that string again. | |
9236 | ||
9237 | 2008-07-30 Juri Linkov <juri@jurta.org> | |
9238 | ||
9239 | * info.el (info, Info-mode): Doc fix. | |
9240 | ||
9241 | * isearch.el (isearch-mode-map): Bind `M-s r' to | |
9242 | `isearch-toggle-regexp' and `M-s w' to `isearch-toggle-word'. | |
9243 | (search-map): Bind `M-s w' to `isearch-forward-word' globally | |
9244 | in the global map `search-map'. | |
9245 | (isearch-forward): Doc fix. | |
9246 | (isearch-forward-word, isearch-toggle-case-fold): New commands. | |
9247 | ||
9248 | * simple.el (quoted-insert): Comment out code that treats | |
9249 | 0240-0377 specially. | |
9250 | ||
9251 | 2008-07-30 Chong Yidong <cyd@stupidchicken.com> | |
9252 | ||
9253 | * cus-start.el: Add customization info for | |
9254 | read-buffer-completion-ignore-case. | |
9255 | ||
9256 | 2008-07-30 Sam Steingold <sds@gnu.org> | |
9257 | ||
9258 | * vc-dir.el (vc-dir): Call file-truename on the dir argument. | |
9259 | ||
9260 | 2008-07-30 Dan Nicolaescu <dann@ics.uci.edu> | |
9261 | ||
9262 | * vc-dir.el (vc-dir-menu-map, vc-at-event): Fix docstring. | |
9263 | (vc-dir-mode): Improve docstring. | |
9264 | ||
9265 | 2008-07-30 Juri Linkov <juri@jurta.org> | |
9266 | ||
9267 | * dired-aux.el (dired-isearch-filenames-setup): Add autoload cookie | |
9268 | for the sake of isearch-mode-hook in dired-mode in dired.el. | |
9269 | ||
9270 | 2008-07-19 Markus Triska <markus.triska@gmx.at> | |
9271 | ||
9272 | * image-mode.el (image-mode): Set image-mode-text-map when image | |
9273 | cannot be displayed. | |
9274 | ||
9275 | 2008-07-30 Stephen Leake <stephen_leake@stephe-leake.org> | |
9276 | ||
9277 | * progmodes/ada-prj.el (ada-prj-initialize-values): Fix use of deleted | |
9278 | ada-xref-set-default-prj-values. | |
9279 | (ada-prj-display-page): Ditto. | |
9280 | ||
9281 | * progmodes/ada-xref.el (ada-gnatstub-opts): Fix doc string. | |
9282 | (ada-make-filename-from-adaname): Fix free variable. | |
9283 | ||
9284 | 2008-07-30 Dan Nicolaescu <dann@ics.uci.edu> | |
9285 | ||
9286 | * vc-git.el (vc-git-status-printer): Synchronize with the default. | |
9287 | ||
9288 | 2008-07-30 Michael McNamara <mac@mail.brushroad.com> | |
9289 | ||
9290 | * progmodes/verilog-mode.el (verilog-do-indent): Remove special indent | |
9291 | for declarations inside a parenthetical list. The code is ill-advised, | |
9292 | and doesn't work given user defined types. | |
9293 | (verilog-set-auto-endcomments): Enhance function automatic | |
9294 | endcomment to support functions that return user defined types. | |
9295 | (verilog-mode): Add code to tell which-function-mode minor mode | |
9296 | that Verilog supports this feature. | |
9297 | (verilog-beg-block-re-ordered, verilog-indent-re) | |
9298 | (verilog-forward-sexp, verilog-forward-wa, verilog-calc-1) | |
9299 | (verilog-leap-to-head): Support the new virtual and/or protected | |
9300 | tasks, as well as extern declarations of tasks for indenting and | |
9301 | for forward/backward expression. | |
9302 | ||
9303 | 2008-07-30 Wilson Snyder <wsnyder@wsnyder.org> | |
9304 | ||
9305 | * progmodes/verilog-mode.el (verilog-read-decls): Allow AUTORESET | |
9306 | to work with SV 'logic' signals. Suggested by Julian Gorfajn. | |
9307 | (verilog-auto-inst-column): Make verilog-auto-inst-column customizable. | |
9308 | (verilog-string-replace-matches): Avoid recursion with small | |
9309 | replacements. | |
9310 | (verilog-auto-inst-param-value, verilog-mode-version) | |
9311 | (verilog-mode-version-date, verilog-read-inst-param-value) | |
9312 | (verilog-auto-inst, verilog-auto-inst-param) | |
9313 | (verilog-auto-inst-port, verilog-simplify-range-expression): Add | |
9314 | verilog-auto-inst-param-value option for AUTOINST. Suggested by | |
9315 | David Rogoff. This allows parameters to be replaced with their | |
9316 | values, on the expansion of an AUTOINST with Verilog 2001 style | |
9317 | parameter settings. | |
9318 | ||
9319 | 2008-07-30 David Lord <david.lord@phonecoop.coop> (tiny change) | |
9320 | ||
9321 | * calendar/timeclock.el (timeclock-day-projects): Change a `cdr' | |
9322 | to `cddr'. | |
9323 | ||
9324 | 2008-07-29 Chong Yidong <cyd@stupidchicken.com> | |
9325 | ||
9326 | * Makefile.in (ELCFILES): Add mairix.elc. | |
9327 | ||
9328 | 2008-07-29 David Engster <deng@randomsample.de> | |
9329 | ||
9330 | * net/mairix.el: New file. | |
9331 | ||
9332 | 2008-07-29 Juri Linkov <juri@jurta.org> | |
9333 | ||
9334 | * cus-edit.el (custom-face-tag): Inherit from custom-variable-tag | |
9335 | instead of variable-pitch with bold and 1.2 height. | |
9336 | ||
9337 | * dired-aux.el (dired-do-async-shell-command): New command. | |
9338 | ||
9339 | * dired.el (dired-mode-map): Rebind `dired-flag-garbage-files' | |
9340 | from `&' to `%&'. Bind `&' to `dired-do-async-shell-command'. | |
9341 | ||
9342 | 2008-07-29 Juri Linkov <juri@jurta.org> | |
9343 | ||
9344 | * international/mule-cmds.el (ucs-names): New internal variable. | |
9345 | (ucs-names): New function. | |
9346 | (ucs-completions): New lazy completion variable. | |
9347 | (read-char-by-name): New function. | |
9348 | (ucs-insert): Replace interactive spec letter "s" with the call to | |
9349 | `read-char-by-name'. | |
9350 | ||
9351 | * replace.el (read-regexp): Add second arg `default'. Doc fix. | |
9352 | ||
9353 | * replace.el (occur-read-primary-args): | |
9354 | * hi-lock.el (hi-lock-line-face-buffer, hi-lock-face-buffer) | |
9355 | (hi-lock-face-phrase-buffer): Use `(car regexp-history)' as the | |
9356 | second arg of `read-regexp'. | |
9357 | ||
9358 | * dired-aux.el (dired-isearch-filenames): New user option. | |
9359 | (dired-isearch-orig-success-function): New internal variable. | |
9360 | (dired-isearch-filenames-setup, dired-isearch-filenames-end) | |
9361 | (dired-isearch-success-function): New functions. | |
9362 | (dired-isearch-filenames, dired-isearch-filenames-regexp): | |
9363 | New commands. | |
9364 | ||
9365 | * dired.el (dired-insert-set-properties): Add new text property | |
9366 | `dired-filename' to put on file names. | |
9367 | (dired-mode-map): Bind `M-s f C-s' to `dired-isearch-filenames' | |
9368 | and `M-s f M-C-s' to `dired-isearch-filenames-regexp'. | |
9369 | Add menu items. | |
9370 | (dired-mode): Add hook `dired-isearch-filenames-setup' to | |
9371 | buffer-local `isearch-mode-hook'. | |
9372 | ||
9373 | 2008-07-29 Juanma Barranquero <lekktu@gmail.com> | |
9374 | ||
9375 | * progmodes/ada-mode.el (ada-batch-reformat): Doc fix. | |
9376 | (ada-create-case-exception): Fix typo in docstring. | |
9377 | (ada-no-auto-case): Return nil, not the docstring. | |
9378 | (ada-indent-current): Reflow docstring. | |
9379 | ||
9380 | * progmodes/ada-prj.el (ada-prj-edit, ada-prj-initialize-values) | |
9381 | (ada-prj-save-specific-option, ada-prj-field): Fix typos in docstrings. | |
9382 | (ada-prj-load-from-file): Reflow docstring. | |
9383 | (ada-prj-display-page): Fix typo in widget. | |
9384 | ||
9385 | * progmodes/ada-stmt.el (ada-case, ada-package-body, ada-private): | |
9386 | Fix typos in docstrings. | |
9387 | ||
9388 | * progmodes/ada-xref.el (ada-find-executable, ada-gnat-parse-gpr) | |
9389 | (ada-compile-current, ada-check-current, ada-run-application) | |
9390 | (ada-get-ali-file-name): Fix typos in docstrings. | |
9391 | (ada-xref-confirm-compile, ada-find-references) | |
9392 | (ada-find-local-references, ada-find-any-references): Doc fixes. | |
9393 | (ada-get-all-references): Fix typo in error message. | |
9394 | (ada-xref-current-project): Use `let', not `let*'. | |
9395 | (ada-do-file-completion, ada-xref-change-buffer): Reflow docstring. | |
9396 | ||
9397 | 2008-07-28 Vinicius Jose Latorre <viniciusjl@ig.com.br> | |
9398 | ||
9399 | * whitespace.el (whitespace-newline): Change initialization to have a | |
9400 | low contrast relative to the background color. Suggested by David | |
9401 | Reitter <david.reitter@gmail.com>. | |
9402 | ||
9403 | 2008-07-28 Juri Linkov <juri@jurta.org> | |
9404 | ||
9405 | * dired-aux.el (dired-do-isearch, dired-do-isearch-regexp): | |
9406 | New functions. | |
9407 | ||
9408 | * dired.el (dired-mode-map): Bind dired-do-isearch to `M-s a C-s' | |
9409 | and dired-do-isearch-regexp to `M-s a M-C-s'. Add menu items. | |
9410 | ||
9411 | 2008-07-28 Seiji Zenitani <zenitani@mac.com> | |
9412 | ||
9413 | * help-fns.el (help-C-file-name): Add .m (Obj-C code) for Cocoa port. | |
9414 | * emacs-lisp/find-func.el (find-function-search-for-symbol): Likewise. | |
9415 | ||
9416 | 2008-07-28 Stephen Leake <stephen_leake@stephe-leake.org> | |
9417 | ||
9418 | * progmodes/ada-mode.el (ada-mode): Clean up XEmacs handling. | |
9419 | Add support for add-log. | |
9420 | (ada-end-stmt-re): Fix bug - allow comment after 'when'. | |
9421 | ||
9422 | * progmodes/ada-prj.el: Delete 'main_unit' project variable. | |
9423 | (ada-prj-save): Prompt for file name if not given. | |
9424 | (ada-prj-display-page): Display casing exceptions. | |
9425 | ||
9426 | * progmodes/ada-xref.el: Add support for GNAT project files as Emacs | |
9427 | Ada mode project files. Delete 'main_unit' project variable; | |
9428 | only need 'main'. Simplify handling of default project values. | |
9429 | Use cross-prefix consistently. | |
9430 | (ada-find-executable): Throw error if not found. | |
9431 | (ada-initialize-runtime-library): Improve error handling when | |
9432 | gnatls not found. | |
9433 | (ada-gnat-parse-gpr): New. | |
9434 | (ada-treat-cmd-string): Allow process environment variables. | |
9435 | (ada-xref-set-default-prj-values): Delete; replace with | |
9436 | ada-default-prj-properties. | |
9437 | (ada-parse-prj-file): Handle GNAT project files. | |
9438 | (ada-parse-prj-file-1): New, factored out of ada-parse-prj-file. | |
9439 | (ada-select-prj-file): New. | |
9440 | (ada-get-absolute-dir-list): Allow project and environment variables. | |
9441 | ||
9442 | 2008-07-27 Michael Albinus <michael.albinus@gmx.de> | |
9443 | ||
9444 | Sync with Tramp 2.1.14. | |
9445 | ||
9446 | * net/tramp.el (tramp-perl-directory-files-and-attributes) | |
9447 | (tramp-get-device): Make device number a cons cell. | |
9448 | (tramp-convert-file-attributes): Make inode a cons cell. | |
9449 | ||
9450 | * net/trampver.el: Update release number. | |
9451 | ||
9452 | 2008-07-27 Adrian Robert <Adrian.B.Robert@gmail.com> | |
9453 | ||
9454 | * faces.el (face-set-after-frame-default): Treat 'ns as all other | |
9455 | window systems. | |
9456 | ||
9457 | 2008-07-27 Adrian Robert <Adrian.B.Robert@gmail.com> | |
9458 | ||
9459 | * term/ns-win.el (do-applescript): New alias in carbon-compat section. | |
9460 | ||
9461 | 2008-07-27 Dan Nicolaescu <dann@ics.uci.edu> | |
9462 | ||
9463 | Remove support for Mac Carbon. | |
9464 | * term/mac-win.el: Remove file | |
9465 | * international/mule-cmds.el: | |
9466 | * version.el: | |
9467 | * startup.el: | |
9468 | * simple.el: | |
9469 | * mwheel.el: | |
9470 | * mouse.el: | |
9471 | * loadup.el: | |
9472 | * isearch.el: | |
9473 | * info.el: | |
9474 | * frame.el: | |
9475 | * faces.el: | |
9476 | * disp-table.el: | |
9477 | * cus-start.el: | |
9478 | * cus-face.el: | |
9479 | * cus-edit.el: | |
9480 | * Makefile.in: Remove code for Carbon. | |
9481 | ||
9482 | 2008-07-26 Adrian Robert <Adrian.B.Robert@gmail.com> | |
9483 | ||
9484 | * term/ns-win.el (ns-extended-platform-support-mode): Get rid of | |
9485 | bindings for functions deleted by Dan N. 2008-07-21. Set | |
9486 | where-is-preferred-modifier. Add show-manual option to Help menu. | |
9487 | ||
9488 | 2008-07-26 Michael Albinus <michael.albinus@gmx.de> | |
9489 | ||
9490 | * net/tramp.el (tramp-handle-start-file-process): | |
9491 | Set query-on-exit flag. Kill temporary buffer. | |
9492 | (tramp-process-sentinel): Remove defun. | |
9493 | (tramp-do-copy-or-rename-file-out-of-band) | |
9494 | (tramp-maybe-open-connection): Don't call it. | |
9495 | ||
9496 | * net/tramp-fish.el (tramp-fish-maybe-open-connection): Don't call | |
9497 | `tramp-process-sentinel'. | |
9498 | ||
9499 | * net/tramp-smb.el (tramp-smb-maybe-open-connection): Don't call | |
9500 | `tramp-process-sentinel'. | |
9501 | ||
9502 | * net/xesam.el (xesam-from): Remove defvar. | |
9503 | (xesam-mode): Derive from `special-mode'. Extend `xesam-mode-map' | |
9504 | and `mode-line-position'. | |
9505 | (xesam-refresh-entry): Remove argument `hit-number'. Add debug | |
9506 | information to the widgets. Don't set `mode-line-position'. | |
9507 | (xesam-refresh-search-buffer): Correct hit number computing. | |
9508 | Don't set `mode-line-position'. | |
9509 | (xesam-new-search): Add debug information to the mode line. | |
9510 | ||
9511 | 2008-07-25 Vinicius Jose Latorre <viniciusjl@ig.com.br> | |
9512 | ||
9513 | * diff-mode.el (diff-show-trailing-blanks): Renamed to | |
9514 | diff-show-trailing-whitespaces. | |
9515 | ||
9516 | 2008-07-25 Chong Yidong <cyd@stupidchicken.com> | |
9517 | ||
9518 | * textmodes/tex-mode.el (tex-compilation-parse-errors): Check for | |
9519 | invalid filename strings when parsing tex errors (bug#376). | |
9520 | ||
9521 | 2008-07-25 Dan Nicolaescu <dann@ics.uci.edu> | |
9522 | ||
9523 | * menu-bar.el (menu-bar-file-menu): Fix typo. | |
9524 | ||
9525 | 2008-07-25 Juanma Barranquero <lekktu@gmail.com> | |
9526 | ||
9527 | * play/solitaire.el (solitaire-mode-map): Define within defvar. | |
9528 | (solitaire-mode): Define with `define-derived-mode'. | |
9529 | (solitaire-insert-board, solitaire-right, solitaire-left, solitaire-up) | |
9530 | (solitaire-down): Use "?\s" instead of "?\ "; use `when'. | |
9531 | (solitaire-undo, solitaire-check): Use `when'. | |
9532 | (solitaire-solve): Err out if the solitaire is already in progress. | |
9533 | Use `when'. | |
9534 | ||
9535 | * descr-text.el (describe-char): Don't overwrite local variable char | |
9536 | when describing characters with display-table entries. Display font | |
9537 | backend when describing composed characters. Simplify: use `let' | |
9538 | instead of `let*', and `or x y' instead of `if x x y'. | |
9539 | ||
9540 | 2008-07-25 Chong Yidong <cyd@stupidchicken.com> | |
9541 | ||
9542 | * image-mode.el (image-minor-mode): Set up winprops. | |
9543 | ||
9544 | 2008-07-24 Vinicius Jose Latorre <viniciusjl@ig.com.br> | |
9545 | ||
9546 | * diff-mode.el (diff-show-trailing-blanks): New fun. | |
9547 | Show trailing blanks in modified lines for diff-mode. | |
9548 | ||
9549 | 2008-07-24 Michael Albinus <michael.albinus@gmx.de> | |
9550 | ||
9551 | * Makefile.in (ELCFILES): Add net/xesam.el. | |
9552 | ||
9553 | * net/tramp.el (tramp-handle-start-file-process): Make NAME a unique | |
9554 | process name. Reported by Markus Triska <markus.triska@gmx.at>. | |
9555 | ||
9556 | * net/xesam.el: New file. | |
9557 | ||
9558 | 2008-07-24 Sven Joachim <svenjoac@gmx.de> | |
9559 | ||
9560 | * dired-aux.el (dired-copy-file-recursive): Avoid calling | |
9561 | set-file-modes when creating target directories. | |
9562 | ||
9563 | 2008-07-24 Juanma Barranquero <lekktu@gmail.com> | |
9564 | ||
9565 | * play/solitaire.el (solitaire-mode): Fix typo in docstring. | |
9566 | Set `show-trailing-whitespace' to nil. | |
9567 | (solitaire, solitaire-mode-map, solitaire-mode-hook, solitaire-solve): | |
9568 | Fix typos in docstrings. | |
9569 | ||
9570 | 2008-07-24 Chong Yidong <cyd@stupidchicken.com> | |
9571 | ||
9572 | * textmodes/enriched.el (enriched-mode-map): Bind C-a and C-j via | |
9573 | key remapping, not directly. | |
9574 | ||
9575 | 2008-07-24 Vinicius Jose Latorre <viniciusjl@ig.com.br> | |
9576 | ||
9577 | * whitespace.el: New version 11.2. | |
9578 | (whitespace-newline-mode, global-whitespace-newline-mode): New newline | |
9579 | minor mode visualization. | |
9580 | ||
9581 | 2008-07-24 Dan Nicolaescu <dann@ics.uci.edu> | |
9582 | ||
9583 | * progmodes/cc-mode.el (auto-mode-alist): Add .i and .ii files. | |
9584 | ||
9585 | * Makefile.in (ELCFILES): Add term/common-win.elc. | |
9586 | ||
9587 | * vc-dir.el (vc-dir-search, vc-dir-isearch) | |
9588 | (vc-dir-isearch-regexp): New functions. | |
9589 | (vc-dir-mode-map, vc-dir-menu-map): Bind them. | |
9590 | ||
9591 | 2008-07-23 Juri Linkov <juri@jurta.org> | |
9592 | ||
9593 | * isearch-multi.el: Remove file to avoid dos file name clashes | |
9594 | with isearch-x.el. Move most content to misearch.el. | |
9595 | ||
9596 | * misearch.el: New file with most content from isearch-multi.el. | |
9597 | Rename `isearch-buffers' name prefixes to `multi-isearch'. | |
9598 | Remove `isearch-buffers-minor-mode'. Add new function | |
9599 | `multi-isearch-setup' to `isearch-mode-hook'. New top-level | |
9600 | commands `multi-isearch-buffers', `multi-isearch-buffers-regexp', | |
9601 | `multi-isearch-files', `multi-isearch-files-regexp'. | |
9602 | ||
9603 | * Makefile.in (ELCFILES): Remove isearch-multi.elc. Add misearch.elc. | |
9604 | ||
9605 | * isearch.el (isearch-message-prefix): Display "Multi" when | |
9606 | `multi-isearch-next-buffer-current-function' is non-nil. | |
9607 | (isearch-search-string): Replace `isearch-buffers-next-buffer-function' | |
9608 | with `multi-isearch-next-buffer-current-function', and | |
9609 | `isearch-buffers-current-buffer' with `multi-isearch-current-buffer'. | |
9610 | ||
9611 | * add-log.el (change-log-mode): Set `change-log-next-buffer' to | |
9612 | `multi-isearch-next-buffer-function' instead of | |
9613 | `isearch-buffers-next-buffer-function'. Remove call to | |
9614 | `isearch-buffers-minor-mode'. | |
9615 | ||
9616 | * buff-menu.el (Buffer-menu-marked-buffers) | |
9617 | (Buffer-menu-isearch-buffers) | |
9618 | (Buffer-menu-isearch-buffers-regexp): New functions. | |
9619 | (Buffer-menu-mode-map): Bind "M-s a C-s" to | |
9620 | `Buffer-menu-isearch-buffers', and "M-s a M-C-s" to | |
9621 | `Buffer-menu-isearch-buffers-regexp'. | |
9622 | (Buffer-menu-mode): Document new commands in docstring. | |
9623 | (list-buffers-noselect): Add one space after Info file name | |
9624 | according to the Info address convention. | |
9625 | ||
9626 | * ibuf-ext.el (ibuffer-do-isearch, ibuffer-do-isearch-regexp): | |
9627 | New functions. | |
9628 | ||
9629 | * ibuffer.el (ibuffer-mode-map): Bind "M-s a C-s" to | |
9630 | `ibuffer-do-isearch' and "M-s a M-C-s" to `ibuffer-do-isearch-regexp'. | |
9631 | (ibuffer-mode): Document new commands in docstring. | |
9632 | ||
9633 | * filesets.el (filesets-commands): Add commands for "Isearch" and | |
9634 | "Isearch (regexp)". Replace `query-replace' and `query-replace-regexp' | |
9635 | with `perform-replace' using `filesets-cmd-query-replace-regexp-getargs'. | |
9636 | (filesets-run-cmd): Call `fn' only once if it is `multi-isearch-files' | |
9637 | or `multi-isearch-files-regexp'. | |
9638 | (filesets-cmd-query-replace-getargs): Call standard function | |
9639 | `query-replace-read-args' to read `query-replace' arguments. | |
9640 | Add `multi-query-replace-map'. | |
9641 | (filesets-cmd-query-replace-regexp-getargs) | |
9642 | (filesets-cmd-isearch-getargs): New functions. | |
9643 | ||
9644 | 2008-07-23 Chong Yidong <cyd@stupidchicken.com> | |
9645 | ||
9646 | * international/mule.el (recode-region): Deactivate mark at the end. | |
9647 | ||
98a326f7 | 9648 | 2008-07-23 Nikolaj Schumacher <n_schumacher@web.de> |
e3d51b27 MR |
9649 | |
9650 | * progmodes/flymake.el (flymake-errline, flymake-warnline): | |
9651 | Use more suitable colors on dark displays. | |
9652 | ||
9653 | 2008-07-23 Michael Albinus <michael.albinus@gmx.de> | |
9654 | ||
9655 | * net/tramp.el (tramp-methods): Add "-q" for "scp", "scp1", | |
9656 | "scp2", "ssh", "ssh1", "ssh2", "scpc", "scpx", "sshx". | |
9657 | (tramp-temp-buffer-name): New defconst. | |
9658 | (tramp-handle-start-file-process): Use it. Apply "exec", for the | |
9659 | command. No trailing prompt. | |
9660 | (tramp-process-sentinel): Remove temporary buffer, if existing. | |
9661 | Don't handle trailing prompt. | |
9662 | (tramp-open-connection-setup-interactive-shell): | |
9663 | Use `tramp-temp-buffer-name'. | |
9664 | ||
9665 | 2008-07-23 Chong Yidong <cyd@stupidchicken.com> | |
9666 | ||
9667 | * shell.el (shell-dynamic-complete-functions): | |
9668 | Use comint-dynamic-complete-filename as well (bug#361). | |
9669 | ||
9670 | 2008-07-23 Dan Nicolaescu <dann@ics.uci.edu> | |
9671 | ||
9672 | * term/ns-win.el: | |
9673 | * version.el (emacs-version): | |
9674 | * loadup.el: | |
9675 | * frame.el (make-frame-on-display): Check for ns instead of | |
9676 | ns-windowing. | |
9677 | ||
9678 | * dired.el (dired-mode-map): Show the key binding for wdired. | |
9679 | ||
9680 | * menu-bar.el (menu-bar-file-menu): Show the key binding for | |
9681 | exiting Emacs. | |
9682 | ||
9683 | 2008-07-19 Adrian Robert <Adrian.B.Robert@gmail.com> | |
9684 | ||
9685 | * term/ns-win.el (x-list-fonts): Drop alias. | |
9686 | ||
9687 | 2008-07-23 Juri Linkov <juri@jurta.org> | |
9688 | ||
9689 | * replace.el (multi-query-replace-map): New variable. | |
9690 | (perform-replace): Add processing of new multi-buffer keys bound | |
9691 | to `automatic-all' and `exit-current'. Set `query-flag' to nil | |
9692 | if last input char was `automatic-all'. Set new local variable | |
9693 | `multi-buffer' to t when one of new two keys were typed. Return | |
9694 | non-nil value of `multi-buffer' that tells to calling functions | |
9695 | to continue replacement on the next file. | |
9696 | ||
9697 | * progmodes/etags.el (tags-query-replace): Set arg `map' of | |
9698 | `perform-replace' to `multi-query-replace-map'. | |
9699 | ||
9700 | 2008-07-22 Stefan Monnier <monnier@iro.umontreal.ca> | |
9701 | ||
9702 | * diff-mode.el (diff-auto-refine-mode): Remove lighter, since it's | |
9703 | otherwise displayed in every buffer. | |
9704 | ||
9705 | * vc-arch.el (vc-arch-trim-make-sentinel): Use a closure. | |
9706 | (vc-arch-trim-one-revlib): Delete temp directories as well. | |
9707 | ||
9708 | 2008-07-22 Stephen Eglen <stephen@gnu.org> | |
9709 | ||
9710 | * iswitchb.el (iswitchb-delim): New variable. Suggested by Ted Roden. | |
9711 | ||
9712 | 2008-07-22 Sven Joachim <svenjoac@gmx.de> | |
9713 | ||
9714 | * vc-dir.el (vc-dir-recompute-file-state): Fix mismatched parenthesis. | |
9715 | ||
9716 | 2008-07-22 Miles Bader <miles@gnu.org> | |
9717 | ||
9718 | * progmodes/sh-script.el (sh-newline-and-indent): Remove. | |
9719 | (sh-mode-map): Remove remapping of newline-and-indent. | |
9720 | ||
9721 | 2008-07-22 Dan Nicolaescu <dann@ics.uci.edu> | |
9722 | ||
9723 | * vc.el (Todo): Update. | |
9724 | ||
9725 | * vc-hooks.el: Remove obsolete comment. | |
9726 | ||
9727 | * vc-git.el (vc-git-status-printer): Update the directory display | |
9728 | to match the default. | |
9729 | ||
9730 | * vc-dir.el (vc-dir-recompute-file-state): Add workaround for CVS. | |
9731 | ||
9732 | 2008-07-21 Chong Yidong <cyd@stupidchicken.com> | |
9733 | ||
9734 | * menu-bar.el (menu-bar-line-wrapping-menu): Use Visual Line mode | |
9735 | for "word wrap" command. | |
9736 | ||
9737 | * simple.el (visual-line-mode): Disable truncate-partial-width-windows. | |
9738 | ||
9739 | 2008-07-21 Dan Nicolaescu <dann@ics.uci.edu> | |
9740 | ||
9741 | * term/ns-win.el: Rename ns- functions/variables to the | |
9742 | corresponding x- versions. | |
9743 | (x-select-text, x-cut-buffer-or-selection-value) | |
9744 | (x-disown-selection-internal, x-get-selection-internal) | |
9745 | (x-own-selection-internal, x-defined-colors, xw-defined-colors) | |
9746 | (x-display-mm-width, x-display-mm-height) | |
9747 | (x-display-backing-store, x-display-save-under) | |
9748 | (x-display-visual-class, x-display-screens, x-focus-frame): Remove | |
9749 | defaliases. | |
9750 | ||
9751 | * frame.el (ns-display-name): Remove declaration. | |
9752 | (make-frame-on-display): Use x-display-name instead | |
9753 | ns-display-name. Use unless. | |
9754 | ||
9755 | * startup.el (command-line-1): Fix indentation. | |
9756 | ||
9757 | * term/ns-win.el (up-one, down-one, left-one, right-one): Remove, | |
9758 | checked in inadvertently. | |
9759 | ||
9760 | 2008-07-21 Chong Yidong <cyd@stupidchicken.com> | |
9761 | ||
9762 | * term/ns-win.el: Standardize references to "Nextstep" in | |
9763 | comments, messages, and docstrings. | |
9764 | (ns-handle-args, x-parse-geometry) | |
9765 | (ns-extended-platform-support-mode, x-setup-function-keys) | |
9766 | (ns-select-overlay, ns-unselect-line, ns-yes-or-no-p) | |
9767 | (ns-set-pasteboard, ns-scroll-bar-move, ns-defined-colors) | |
9768 | (ns-initialized, ns-initialize-window-system): Doc fixes. | |
9769 | ||
9770 | 2008-07-21 Thien-Thi Nguyen <ttn@gnuvola.org> | |
9771 | ||
9772 | * diff-mode.el (diff-auto-refine): Delete defcustom. | |
9773 | (diff-auto-refine-mode): New func/var via define-minor-mode. | |
9774 | Update var ref to use diff-auto-refine-mode. | |
9775 | * smerge-mode.el (diff-mode): Require when compiling. | |
9776 | (smerge-auto-refine): Delete defcustom. | |
9777 | Update smerge-auto-refine ref to use diff-auto-refine-mode. | |
9778 | ||
9779 | 2008-07-21 Chong Yidong <cyd@stupidchicken.com> | |
9780 | ||
9781 | * simple.el (visual-line): New custom group. | |
9782 | (visual-line-fringe-indicators): New var. | |
9783 | (visual-line-mode): Set fringe-indicator-alist based on | |
9784 | visual-line-fringe-indicators. Add lighter. | |
9785 | ||
9786 | 2008-07-20 Dan Nicolaescu <dann@ics.uci.edu> | |
9787 | ||
9788 | * term/x-win.el (x-handle-switch, x-handle-numeric-switch) | |
9789 | (x-handle-initial-switch, x-handle-iconic, x-handle-xrm-switch) | |
9790 | (x-handle-geometry, x-handle-name-switch, x-display-name) | |
9791 | (x-handle-display, x-handle-args, x-colors): Move ... | |
9792 | * term/common-win.el: ... here. New file. | |
9793 | * term/w32-win.el (x-handle-switch, x-handle-numeric-switch) | |
9794 | (x-handle-initial-switch, x-handle-iconic, x-handle-xrm-switch) | |
9795 | (x-handle-geometry, x-handle-name-switch, x-display-name) | |
9796 | (x-handle-display, x-handle-args, x-colors): Remove. | |
9797 | * loadup.el: Load term/common-win before term/x-win and term/w32-win. | |
9798 | ||
9799 | 2008-07-19 Juri Linkov <juri@jurta.org> | |
9800 | ||
9801 | * startup.el (fancy-startup-text): Move the line "To quit | |
9802 | a partially entered command, type Control-g" a few lines below | |
9803 | to be after the line "To start". Add text "at gnu.org" to | |
9804 | "Overview of Emacs features". | |
9805 | ||
9806 | * dired.el (dired-mode-map): Fix menu text of | |
9807 | image-dired-display-thumbs. | |
9808 | ||
9809 | * image-dired.el (image-dired-cmd-create-thumbnail-options) | |
9810 | (image-dired-cmd-create-temp-image-options) | |
9811 | (image-dired-cmd-create-standard-thumbnail-command): Add > to the | |
9812 | ImageMagick command line to change the dimensions of the image | |
9813 | only if its width or height exceeds the geometry specification. | |
9814 | ||
9815 | * longlines.el: Add coding cookie utf-8 for the pilcrow sign. | |
9816 | ||
9817 | * proced.el (proced-mode, proced): Add \\<proced-mode-map> | |
9818 | to docstrings. | |
9819 | ||
9820 | 2008-07-19 Adrian Robert <Adrian.B.Robert@gmail.com> | |
9821 | ||
9822 | * term/ns-win.el (ns-ps-print-menu-map): Fix typo pointed out by | |
9823 | Vinicius Jose Latorre. | |
9824 | ||
9825 | 2008-07-19 Glenn Morris <rgm@gnu.org> | |
9826 | ||
9827 | * term/ns-win.el (ns-make-command-string): Use mapconcat. | |
9828 | (ns-save-preferences): Fix typo in previous change. (Bug#573) | |
9829 | ||
9830 | 2008-07-18 Michael Albinus <michael.albinus@gmx.de> | |
9831 | ||
9832 | * net/dbus.el (dbus-interface-properties): New defconst. | |
9833 | (dbus-introspect): Update docstring. | |
9834 | (dbus-introspect-xml, dbus-introspect-get-attribute) | |
9835 | (dbus-introspect-get-node-names, dbus-introspect-get-all-nodes) | |
9836 | (dbus-introspect-get-interface-names) | |
9837 | (dbus-introspect-get-interface, dbus-introspect-get-method-names) | |
9838 | (dbus-introspect-get-method, dbus-introspect-get-signal-names) | |
9839 | (dbus-introspect-get-signal, dbus-introspect-get-property-names) | |
9840 | (dbus-introspect-get-property) | |
9841 | (dbus-introspect-get-annotation-names) | |
9842 | (dbus-introspect-get-annotation) | |
9843 | (dbus-introspect-get-argument-names, dbus-introspect-get-argument) | |
9844 | (dbus-introspect-get-signature, dbus-get-property) | |
9845 | (dbus-set-property, dbus-get-all-properties): New defuns. | |
9846 | ||
9847 | 2008-07-18 Chong Yidong <cyd@stupidchicken.com> | |
9848 | ||
9849 | * Makefile.in (ELCFILES): Remove ns-carbon-compat.elc and | |
9850 | ns-grabenv.elc. | |
9851 | ||
9852 | * ns-carbon-compat.el: File removed. | |
9853 | ||
9854 | * ns-grabenv.el: File removed. | |
9855 | ||
9856 | * term/ns-win.el: Contents of ns-carbon-compat.el and | |
9857 | ns-grabenv.el moved here. | |
9858 | (ns-grabenv, ns-open-file-select-line): Doc fixes. | |
9859 | (ns-submit-bug-report): Function removed. Reports go to the main | |
9860 | Emacs bug list. | |
9861 | (ns-handle-args, ns-spi-service-call): Doc fixes. | |
9862 | (info-ns-emacs): Function removed. Nextstep port manual will be | |
9863 | merged into the Emacs manual, so no separate link needed. | |
9864 | ||
9865 | 2008-07-18 Francesc Rocher <rocher@member.fsf.org> | |
9866 | ||
9867 | * startup.el (fancy-splash-head): Fix change of 2008-07-02. | |
9868 | ||
9869 | 2008-07-18 Glenn Morris <rgm@gnu.org> | |
9870 | ||
9871 | * eshell/esh-arg.el (eshell-quote-backslash): Restrict previous change | |
9872 | to XEmacs, since it does nothing for Emacs. | |
9873 | ||
9874 | 2008-07-18 Katsumi Yamaoka <yamaoka@jpl.org> | |
9875 | ||
9876 | * net/tls.el (open-tls-stream): Make it work with the 2nd argument | |
9877 | BUFFER that is a string but does not exist as a buffer object, as | |
9878 | mentioned in the doc-string. | |
9879 | ||
9880 | 2008-07-17 Chong Yidong <cyd@stupidchicken.com> | |
9881 | ||
9882 | * simple.el (line-move-visual): Make it a defcustom. | |
9883 | (line-move-1): Convert temporary-goal-column back to an integer if | |
9884 | it was set as a float by a previous call to line-move-visual. | |
9885 | (end-of-visual-line, beginning-of-visual-line) | |
9886 | (next-logical-line, previous-logical-line): New functions. | |
9887 | ||
9888 | 2008-07-17 David Reitter <david.reitter@gmail.com> | |
9889 | ||
35f5b128 | 9890 | * simple.el (kill-visual-line, turn-on-visual-line-mode): New functions. |
e3d51b27 MR |
9891 | (visual-line-mode, global-visual-line-mode): New minor mode. |
9892 | (visual-line-mode-map): New variable. | |
9893 | ||
9894 | 2008-07-17 Glenn Morris <rgm@gnu.org> | |
9895 | ||
9896 | * term/ns-win.el (parameters): Declare for compiler. | |
9897 | ||
9898 | 2008-07-17 Fan Kai <fktpp@xemacs.org> (tiny change) | |
9899 | ||
9900 | * eshell/esh-arg.el (eshell-quote-backslash): Fix eshell path completion | |
9901 | for Windows. | |
9902 | ||
9903 | 2008-07-17 Daiki Ueno <ueno@unixuser.org> | |
9904 | ||
9905 | * epa.el (epa-key-list-mode): Use run-mode-hooks. | |
9906 | (epa-key-mode): Ditto. | |
9907 | (epa-info-mode): Ditto. | |
9908 | ||
9909 | 2008-07-17 Glenn Morris <rgm@gnu.org> | |
9910 | ||
9911 | * term/ns-win.el (ns-handle-switch): Simplify. Handle the numeric case. | |
9912 | (ns-handle-numeric-switch): Just call ns-handle-switch. | |
9913 | (ns-handle-name-switch, ns-handle-nxopen, ns-handle-nxopentemp) | |
9914 | (ns-handle-args): Simplify using `pop'. | |
9915 | (ns-display-name): Define (used in frame.el). | |
9916 | (menu-bar-select-frame): Add (ignored) arg to more closely match the | |
9917 | original definition. | |
9918 | (ns-perform-service): Declare. | |
9919 | (ns-save-preferences): Use fewer `let's. | |
9920 | ||
9921 | * frame.el (ns-display-name): Declare for compiler. | |
9922 | ||
9923 | 2008-07-17 Kenichi Handa <handa@m17n.org> | |
9924 | ||
9925 | * descr-text.el (describe-char-unidata-list): Initialize to the | |
9926 | list of name, general-category, decomposition, and old-name. | |
9927 | ||
9928 | 2008-07-16 Adrian Robert <Adrian.B.Robert@gmail.com> | |
9929 | ||
9930 | * startup.el (command-line-1): Update processing of NS long options to | |
9931 | mimic recent changes to processing of X long options. | |
9932 | ||
9933 | 2008-07-16 Nick Roberts <nickrob@snap.net.nz> | |
9934 | ||
9935 | * progmodes/gdb-ui.el (gdb-create-define-alist): Don't create a | |
9936 | list of #defines for remote files. | |
9937 | (gdb-source-info): Only show main if it has been found. | |
9938 | ||
9939 | 2008-07-16 Stefan Monnier <monnier@iro.umontreal.ca> | |
9940 | ||
9941 | * term/ns-win.el: Require CL; fix up comment style; reindent. | |
9942 | (ns-define-service): Use subst-char-in-string. Avoid `eval'. | |
9943 | (ns-save-preferences): Use `case'. | |
9944 | (ns-initialize-window-system): Use `dolist'. | |
9945 | ||
9946 | 2008-07-16 Adrian Robert <Adrian.B.Robert@gmail.com> | |
9947 | ||
9948 | * loadup.el: Remove load of easy-mmode prior to ns-win when NS | |
9949 | windowing is used. | |
9950 | * term/ns-win.el (ns-extended-platform-support-mode): | |
9951 | Correct/improve documentation. | |
9952 | ||
9953 | 2008-07-16 Glenn Morris <rgm@gnu.org> | |
9954 | ||
9955 | * emacs-lisp/cl-compat.el, emacs-lisp/cl-macs.el, emacs-lisp/cl-seq.el: | |
9956 | Simply require 'cl (see comment in cl-compat.el). | |
9957 | * emacs-lisp/cl-macs.el (cl-compile-time-init): Remove function. | |
9958 | * emacs-lisp/cl.el (cl-do-pop, cl-mapcar-many): Declare for compiler. | |
9959 | (cl-hack-byte-compiler): Load cl-macs and run cl-hack-bytecomp-hook | |
9960 | directly rather by subterfuge. Provide cl before loading cl-macs. | |
9961 | ||
9962 | * paths.el (rmail-spool-directory): Remove settings for systems that are | |
9963 | no longer supported. | |
9964 | ||
9965 | * frame.el (ns-initialize-window-system): Declare for compiler. | |
9966 | ||
9967 | * term/ns-win.el: Add numerous declarations for compiler. | |
9968 | (ns-pop-up-frames): Move definition before use. Doc fix. | |
9969 | ||
9970 | * emacs-lisp/check-declare.el (check-declare-locate) | |
9971 | (check-declare-verify): Handle .m files. | |
9972 | ||
9973 | 2008-07-15 Adrian Robert <Adrian.B.Robert@gmail.com> | |
9974 | ||
9975 | * ns-carbon-compat.el: New file: user-visible compatibility of | |
9976 | NeXTstep port with Carbon port. | |
9977 | * ns-grabenv.el: New file: functionality useful on OS X platform to | |
9978 | expose environment variables inside Emacs started from icon. | |
9979 | * Makefile.in (ELCFILES): Add ns-carbon-compat, ns-grabenv, ns-win. | |
9980 | * cus-edit.el: | |
9981 | * cus-face.el: | |
9982 | * disp-table.el: | |
9983 | * faces.el: | |
9984 | * info.el: | |
9985 | * mouse.el: | |
9986 | * mwheel.el: | |
9987 | * simple.el: Add ns to window systems treated as GUIs. | |
9988 | * facemenu.el (facemenu-read-color): Don't require a name match under | |
9989 | NS, to allow numeric color entry. | |
9990 | * frame.el (make-frame-on-display): Follow code for 'x in initializing | |
9991 | 'ns window system if need be. | |
9992 | (various): Add 'ns as described above. | |
9993 | * loadup.el: Load ns-win.el, easy-menu.el, easy-mmode.el if | |
9994 | ns-windowing is active. | |
9995 | * startup.el (command-line-ns-option-alist): New constant to handle NS | |
9996 | windowing system specific command line args analogous to how they are | |
9997 | handled for X windows. | |
9998 | (command-line-1): Use the above where appropriate. | |
9999 | * version.el: Add NS port version. | |
10000 | * woman.el (woman-man.conf-path): Add /usr/share/misc to init path on | |
10001 | Darwin (usually OS X) systems. | |
10002 | (woman-use-own-frame): Include 'ns in list of GUI window systems. | |
10003 | * emulation/viper-util.el (ns-display-color-p) | |
10004 | (ns-color-defined-p): Remove these (caustically-commented) outdated | |
10005 | compensations for a port that was never itself integrated until now. | |
10006 | * gnus/gnus-util.el (gnus-select-frame-set-input-focus): Add support | |
10007 | for NS window system. | |
10008 | * international/mule-cmds.el: Add 'ns to list of special-cased window | |
10009 | systems (probably most of these, x/w32/mac/ns could be changed to | |
10010 | window-system non-nil). | |
10011 | * term/ns-win.el: New file: lisp-side support for NS windowing system. | |
10012 | ||
10013 | 2008-07-14 Jason Rumney <jasonr@gnu.org> | |
10014 | ||
10015 | * term/w32-win.el (x-handle-switch, x-handle-name-switch) | |
10016 | (x-handle-numeric-switch, x-handle-initial-switch) | |
10017 | (x-handle-xrm-switch, x-handle-args, x-handle-display) | |
10018 | (xw-defined-colors, w32-initialize-window-system): | |
10019 | Avoid use of cl pop and push macros. | |
10020 | ||
10021 | 2008-07-14 Martin Rudalics <rudalics@gmx.at> | |
10022 | ||
10023 | * add-log.el (change-log-goto-source): Avoid wrong-type-argument | |
10024 | error when change-log-search-file-name returns nil. | |
10025 | ||
10026 | 2008-07-13 Martin Rudalics <rudalics@gmx.at> | |
10027 | ||
10028 | * add-log.el (change-log-search-file-name): | |
10029 | Use match-string-no-properties. | |
10030 | (change-log-search-tag-name-1, change-log-search-tag-name) | |
10031 | (change-log-goto-source-1, change-log-goto-source): New functions. | |
10032 | (change-log-tag-re, change-log-find-head, change-log-find-tail): | |
10033 | New variables. | |
10034 | (change-log-mode-map): Bind C-c C-c to change-log-goto-source. | |
10035 | ||
10036 | 2008-07-13 Jay Belanger <jay.p.belanger@gmail.com> | |
10037 | ||
10038 | * calc/calc-help.el (calc-describe-key): Add angles to special key | |
10039 | descriptions. | |
10040 | ||
10041 | 2008-07-13 Vincent Belaïche <vincent.b.1@hotmail.fr> | |
10042 | ||
10043 | * calc/calc-help.el (calc-describe-thing): Use `re-search-forward' to | |
10044 | search for regexp. | |
10045 | ||
10046 | 2008-07-12 Chong Yidong <cyd@stupidchicken.com> | |
10047 | ||
10048 | * simple.el (line-move-visual): Handle null pixel position gracefully. | |
10049 | ||
10050 | 2008-07-11 Jason Rumney <jasonr@gnu.org> | |
10051 | ||
10052 | * files.el (file-truename): Get truename of ancestors if file does | |
10053 | not exist on Windows. | |
10054 | ||
10055 | 2008-07-11 Chong Yidong <cyd@stupidchicken.com> | |
10056 | ||
10057 | * simple.el (line-move-visual): Obey goal-column and no-error arg. | |
10058 | (track-eol, temporary-goal-column, previous-line, next-line): | |
10059 | Update docstring. | |
10060 | (move-end-of-line, move-beginning-of-line): Bind line-move-visual | |
10061 | to nil. | |
10062 | ||
10063 | 2008-07-11 Stefan Monnier <monnier@iro.umontreal.ca> | |
10064 | ||
10065 | * simple.el (line-move-visual): New var. | |
10066 | (line-move-visual): New function. | |
10067 | (line-move): Call line-move-visual. | |
10068 | ||
10069 | 2008-07-11 Dan Nicolaescu <dann@ics.uci.edu> | |
10070 | ||
10071 | * progmodes/grep.el (grep-files-aliases): Improve the C++ source | |
10072 | pattern and add patterns for C++ header files. | |
10073 | ||
10074 | 2008-07-10 Chong Yidong <cyd@stupidchicken.com> | |
10075 | ||
10076 | * window.el (truncated-partial-width-window-p): New function. | |
10077 | ||
10078 | * menu-bar.el: Remove Longlines mode from menu. Add word-wrap option. | |
10079 | ||
10080 | * faces.el (x-create-frame-with-faces): Don't pass parameters that | |
10081 | are set later to x-create-frame. | |
10082 | (face-set-after-frame-default): Apply X resources for non-default | |
10083 | faces. | |
10084 | ||
10085 | 2008-07-08 Chong Yidong <cyd@stupidchicken.com> | |
10086 | ||
10087 | * faces.el (face-set-after-frame-default): Simplify. Don't apply | |
10088 | frame-specific X resource settings. Set faces using a list of | |
10089 | frame parameters explicitly passed to the calling | |
10090 | function (e.g. make-frame). | |
0296a35e SM |
10091 | (x-create-frame-with-faces, tty-create-frame-with-faces): |
10092 | Supply explicit frame parameter list to face-set-after-frame-default. | |
e3d51b27 MR |
10093 | |
10094 | 2008-07-08 Eduard Wiebe <usenet@pusto.de> (tiny change) | |
10095 | ||
10096 | * net/browse-url.el (browse-url-default-browser): | |
10097 | Fix argument list in lambda. | |
10098 | ||
77a01f9b | 10099 | 2008-07-07 Ulf Jasper <ulf.jasper@web.de> |
e3d51b27 MR |
10100 | |
10101 | * net/newst-backend.el (newsticker--get-news-by-url): Catch errors | |
10102 | from url-retrieve. | |
10103 | (newsticker--sentinel-work): Fix xerror typo. | |
10104 | ||
10105 | 2008-07-07 Dan Nicolaescu <dann@ics.uci.edu> | |
10106 | ||
10107 | * vc-dir.el (vc-dir-refresh): Only update files. | |
10108 | ||
10109 | * vc-git.el (vc-git--ls-files-state): Remove unused function. | |
10110 | ||
10111 | * vc-bzr.el (vc-bzr-after-dir-status): Deal with execute bit changes. | |
10112 | ||
10113 | 2008-07-06 John Paul Wallington <jpw@pobox.com> | |
10114 | ||
10115 | * kermit.el (kermit-esc-char, kermit-clean-off): Doc fixes. | |
10116 | ||
10117 | 2008-07-05 Alexandre Julliard <julliard@winehq.org> | |
10118 | ||
10119 | * vc-git.el (vc-git-registered): Return true for removed files. | |
10120 | (vc-git-print-log, vc-git-log-view-mode): Print a single log for | |
10121 | all the files instead of one per file. | |
10122 | (vc-git-previous-revision): Add support for project-wide previous | |
10123 | revision when specified file is nil. | |
10124 | ||
10125 | 2008-07-05 Dan Nicolaescu <dann@ics.uci.edu> | |
10126 | ||
10127 | * vms-patch.el, vmsproc.el, mail/vms-pmail.el: Move to obsolete dir. | |
10128 | ||
10129 | * vc-dir.el (vc-dir-find-child-files): New function. | |
10130 | (vc-dir-resync-directory-files): New function. | |
10131 | (vc-dir-recompute-file-state): New function, broken out of ... | |
10132 | (vc-dir-resynch-file): ... here. Also deal with directories. | |
10133 | * vc-dispatcher.el (vc-resynch-buffers-in-directory): New function. | |
10134 | (vc-resynch-buffer): Use it. | |
10135 | ||
10136 | * vc-hg.el (vc-hg-registered): Do not set vc-state. | |
10137 | ||
10138 | * vc-annotate.el (vc-annotate-mode-menu): Add separator. | |
10139 | ||
10140 | 2008-07-05 Nick Roberts <nickrob@snap.net.nz> | |
10141 | ||
10142 | * progmodes/gdb-ui.el (gdb-display-buffer): Don't split a buffer | |
10143 | if it's not part of gdb-ui, e.g, at start. | |
10144 | ||
10145 | * progmodes/gud.el (gud-gdb-get-stackframe): Allow absolute | |
10146 | filenames in stack trace (text command mode). | |
10147 | ||
10148 | 2008-07-05 Stefan Monnier <monnier@iro.umontreal.ca> | |
10149 | ||
10150 | * mouse-drag.el (mouse-drag-throw, mouse-drag-drag): Autoload. | |
10151 | ||
10152 | * textmodes/sgml-mode.el (sgml-font-lock-syntactic-keywords): | |
10153 | Use syntax-ppss on a position *before* the char we want to change. | |
10154 | ||
10155 | 2008-07-04 Dan Nicolaescu <dann@ics.uci.edu> | |
10156 | ||
10157 | * vc-dir.el (vc-dir-query-replace-regexp): New function. | |
10158 | (vc-dir-tool-bar-map, vc-dir-menu-map): Bind it. | |
10159 | (vc-dir-mode-map): Likewise. | |
10160 | ||
10161 | 2008-07-03 Juanma Barranquero <lekktu@gmail.com> | |
10162 | ||
10163 | * eshell/esh-arg.el (eshell-quote-backslash): Fix typo in docstring. | |
10164 | (eshell-parse-argument-hook, eshell-special-chars-inside-quoting) | |
10165 | (eshell-arg-load-hook, eshell-special-chars-outside-quoting): | |
10166 | Remove spurious * in defcustom docstrings. | |
10167 | ||
10168 | * textmodes/fill.el (fill-paragraph): Fix typo in docstring. | |
10169 | (fill-individual-varying-indent, colon-double-space, enable-kinsoku) | |
10170 | (adaptive-fill-mode, adaptive-fill-first-line-regexp) | |
10171 | (default-justification): Remove spurious * in defcustom docstrings. | |
10172 | ||
10173 | * textmodes/rst.el (rst, rst-line-homogeneous-p, rst-mode-abbrev-table) | |
10174 | (rst-font-lock-keywords-function, rst-mode, rst-minor-mode) | |
10175 | (rst-compare-decorations, rst-default-indent, rst-update-section) | |
10176 | (rst-decoration-complete-p, rst-section-tree, rst-toc-insert-node) | |
10177 | (rst-toc-insert-find-delete-contents, rst-toc-count-lines) | |
10178 | (rst-toc-mode-goto-section, rst-faces, rst-level-face-base-color): | |
10179 | Fix typos in docstrings. | |
10180 | (rst-mode-lazy): Fix typo in docstring. Remove spurious *. | |
10181 | (rst-adjust, rst-line-homogeneous-p, rst-suggest-new-decoration) | |
10182 | (rst-get-decorations-around, rst-section-tree-point) | |
10183 | (rst-font-lock-adornment-point): Reflow docstrings. | |
10184 | (rst-get-decoration, rst-get-decoration-match, rst-adjust-decoration): | |
10185 | Doc fixes. | |
10186 | (rst-re-enumerations): Comment out. | |
10187 | ||
10188 | * nxml/nxml-glyph.el (nxml-glyph-set-hook): | |
10189 | * nxml/nxml-uchnm.el (nxml-unicode-blocks) | |
10190 | (nxml-unicode-block-char-name-set): | |
10191 | * nxml/nxml-util.el (nxml-debug, nxml-make-namespace): | |
10192 | * nxml/rng-nxml.el (rng-set-state-after): | |
10193 | * nxml/rng-uri.el (rng-file-name-uri): Fix typo in docstring. | |
10194 | ||
10195 | * nxml/rng-cmpct.el (rng-c-lookup-create, rng-c-parse-primary) | |
10196 | (rng-c-parse-annotation-body): | |
10197 | * nxml/rng-dt.el (rng-dt-namespace-context-getter): Reflow docstrings. | |
10198 | ||
10199 | * nxml/nxml-mode.el (nxml, nxml-mode, nxml-after-change1) | |
10200 | (nxml-extend-region, nxml-merge-indent-context-type, nxml-complete) | |
10201 | (nxml-forward-balanced-item, nxml-dynamic-markup-word) | |
10202 | (nxml-define-char-name-set, nxml-toggle-char-ref-extra-display): | |
10203 | Fix typos in docstrings. | |
10204 | (nxml-attribute-indent): Reflow docstring. | |
10205 | (nxml-bind-meta-tab-to-complete-flag, nxml-last-fontify-end) | |
10206 | (nxml-default-buffer-file-coding-system): Doc fixes. | |
10207 | ||
10208 | * nxml/nxml-ns.el (nxml-ns-state, nxml-ns-initial-state) | |
10209 | (nxml-ns-set-prefix): Fix typos in docstrings. | |
10210 | (nxml-ns-push-state, nxml-ns-pop-state, nxml-ns-set-default): | |
10211 | Reflow docstring. | |
10212 | (nxml-ns-get-prefix, nxml-ns-get-default): Doc fixes. | |
10213 | ||
10214 | * nxml/nxml-outln.el (nxml-hide-all-text-content) | |
10215 | (nxml-show-direct-text-content, nxml-show-direct-subheadings) | |
10216 | (nxml-hide-direct-text-content, nxml-hide-subheadings) | |
10217 | (nxml-hide-text-content, nxml-show-subheadings, nxml-hide-other) | |
10218 | (nxml-outline-display-rest, nxml-outline-set-overlay) | |
10219 | (nxml-section-tag-forward, nxml-section-tag-backward) | |
10220 | (nxml-back-to-section-start): Fix typos in docstrings. | |
10221 | ||
10222 | * nxml/nxml-parse.el (nxml-validate-function, nxml-parse-file): | |
10223 | Doc fixes. | |
10224 | ||
10225 | * nxml/nxml-rap.el (nxml-scan-end, nxml-move-tag-backwards) | |
10226 | (nxml-scan-element-forward, nxml-scan-element-backward): Doc fixes. | |
10227 | (nxml-scan-after-change): Fix typo in docstring. | |
10228 | ||
10229 | * nxml/rng-match.el (rng-being-compiled, rng-normalize-choice-list) | |
10230 | (rng-name-class-possible-names): Doc fixes. | |
10231 | (rng-memo-map-add, rng-intern-group, rng-match-possible-namespace-uris) | |
10232 | (rng-match-possible-start-tag-names, rng-match-possible-value-strings): | |
10233 | Fix typos in docstrings. | |
10234 | (rng-intern-group-shortcut, rng-intern-choice-shortcut): | |
10235 | Reflow docstrings. | |
10236 | ||
10237 | * nxml/rng-util.el (rng-uniquify-eq, rng-uniquify-equal): Doc fixes. | |
10238 | (rng-substq, rng-complete-before-point): Fix typos in docstrings. | |
10239 | ||
10240 | * nxml/rng-xsd.el (rng-xsd-make-date-time-regexp) | |
10241 | (rng-xsd-convert-date-time): Reflow docstrings. | |
10242 | (rng-xsd-compile): Fix typo in docstring. | |
10243 | ||
10244 | * nxml/rng-loc.el (rng-current-schema-file-name) | |
10245 | (rng-locate-schema-file-using, rng-locate-schema-file-from-type-id): | |
10246 | Doc fixes. | |
10247 | (rng-set-schema-file): Fix typo in docstring. | |
10248 | ||
10249 | * nxml/rng-valid.el (rng-error-count, rng-validate-mode) | |
10250 | (rng-do-some-validation, rng-process-start-tag, rng-process-text): | |
10251 | Fix typos in docstrings. | |
10252 | (rng-message-overlay, rng-conditional-up-to-date-start) | |
10253 | (rng-conditional-up-to-date-end): Doc fixes. | |
10254 | (rng-next-error, rng-previous-error): Reflow docstrings. | |
10255 | ||
10256 | * nxml/xmltok.el (xmltok-attribute-raw-normalized-value): Doc fix. | |
10257 | (xmltok-dtd, xmltok-dependent-regions, xmltok-attribute-refs) | |
10258 | (xmltok-valid-char-p, xmltok-standalone, xmltok-forward-prolog) | |
10259 | (xmltok-merge-attributes): Fix typos in docstrings. | |
10260 | (xmltok-make-attribute, xmltok-forward-special) | |
10261 | (xmltok-get-declared-encoding-position): Reflow docstrings. | |
10262 | ||
10263 | * nxml/xsd-regexp.el (xsdre-char-class-to-range-list): Doc fix. | |
10264 | (xsdre-range-list-union, xsdre-check-range-list, xsdre-current-regexp): | |
10265 | Fix typos in docstrings. | |
10266 | ||
10267 | 2008-07-02 John Paul Wallington <jpw@pobox.com> | |
10268 | ||
10269 | * ibuffer.el (ibuffer-buffer-file-name): | |
10270 | Use `list-buffers-directory'. Remove special-casing for `cvs-mode' | |
10271 | and `vc-dir-mode'. Suggested by Dan Nicolaescu. | |
10272 | ||
10273 | 2008-07-02 Toru Tsuneyoshi <t_tuneyosi@hotmail.com> | |
10274 | ||
10275 | * files.el (backup-extract-version): Handle versioned directories. | |
10276 | (trash-directory): New variable. | |
10277 | (move-file-to-trash): New function. | |
10278 | ||
10279 | * cus-start.el (delete-by-moving-to-trash): Declare for custom. | |
10280 | ||
10281 | 2008-07-02 Magnus Henoch <mange@freemail.hu> | |
10282 | ||
10283 | * vc-git.el (vc-git-annotate-command): Use proper option for | |
10284 | specifying revision. | |
10285 | ||
10286 | 2008-07-02 Francesc Rocher <rocher@member.fsf.org> | |
10287 | ||
10288 | * startup.el (fancy-splash-head): Prefer SVG or PNG splash image, | |
10289 | if available. | |
10290 | ||
10291 | 2008-07-01 Dan Nicolaescu <dann@ics.uci.edu> | |
10292 | ||
10293 | * uniquify.el (uniquify-list-buffers-directory-modes): Add vc-dir-mode. | |
10294 | ||
10295 | * vc-annotate.el (vc-annotate-mode-map): Use lower case keys. | |
10296 | Add binding for vc-annotate-show-changeset-diff-revision-at-line. | |
10297 | ||
10298 | * vc-dir.el (vc-dir-mode): Set list-buffers-directory. | |
10299 | ||
10300 | 2008-07-01 Nick Roberts <nickrob@snap.net.nz> | |
10301 | ||
10302 | * progmodes/gdb-ui.el (gdb-create-source-file-list): New option. | |
10303 | (gdb-init-2): Use it. Don't run gdb-mode-hook again. | |
10304 | (gdb-var-list-children-regexp, gdb-var-update-regexp) | |
10305 | (gdb-info-breakpoints-custom, gdb-stack-list-frames-regexp) | |
10306 | (gdb-var-list-children-regexp-1, gdb-var-update-regexp-1) | |
10307 | (gdb-stack-list-locals-regexp): Future proof regexps better. | |
10308 | ||
10309 | 2008-06-30 Juri Linkov <juri@jurta.org> | |
10310 | ||
10311 | * faces.el (face-name-history): New variable. | |
10312 | (make-face, make-empty-face): Replace interactive spec "S" | |
10313 | with `read-from-minibuffer' where `read' arg is t and | |
10314 | `hist' arg is `face-name-history'. | |
10315 | (read-face-name): Set `hist' arg of `completing-read-multiple' | |
10316 | to `face-name-history'. | |
10317 | (list-faces-display): Use `read-regexp' instead of `read-string' | |
10318 | to read regexp. | |
10319 | ||
10320 | * hi-lock.el (hi-lock-regexp-history): Make it an obsolete alias | |
10321 | to `regexp-history'. | |
10322 | (hi-lock-face-history): Rename to `hi-lock-face-defaults' and | |
10323 | make it an obsolete alias to it. | |
10324 | (hi-lock-face-defaults): New variable renamed from | |
10325 | `hi-lock-face-history'. | |
10326 | (hi-lock-line-face-buffer, hi-lock-face-buffer) | |
10327 | (hi-lock-face-phrase-buffer): Use `read-regexp' instead of | |
10328 | `read-from-minibuffer'. Doc fix. | |
10329 | (hi-lock-read-face-name): Replace `hi-lock-face-history' with | |
10330 | `hi-lock-face-defaults'. Remove `mapcar (lambda (f) (cons f f))'. | |
10331 | Set `hist' arg of `completing-read' to `face-name-history'. | |
10332 | Put a list of default faces to `default' arg instead of `hist' arg. | |
10333 | ||
10334 | * bindings.el (abbrev-map, narrow-map): New variables. | |
10335 | Bind `C-x a' to `abbrev-map' and `C-x n' to `narrow-map'. | |
10336 | Rebind related commands to these new maps. | |
10337 | (ctl-x-r-map): New variable for rectangle, register and bookmark | |
10338 | keys. Move rectangle keybindings to rect.el and register | |
10339 | keybindings to register.el. | |
10340 | (next-buffer, previous-buffer): Remove C-x prefix and move | |
10341 | keybindings to ctl-x-map. | |
10342 | ||
10343 | * bookmark.el: Rebind three global `C-x r' keys "b", "m", "l" | |
10344 | to the new map `ctl-x-r-map' in autoload cookies. | |
10345 | ||
10346 | * expand.el: Rebind two global `C-x a' keys "n", "p" | |
10347 | to the new map `abbrev-map' in autoload cookies. | |
10348 | ||
10349 | * rect.el: Bind global keys to ctl-x-r-map in autoload cookies. | |
10350 | ||
10351 | * register.el: Bind global keys to ctl-x-r-map in autoload cookies. | |
10352 | ||
10353 | 2008-06-30 Miles Bader <miles@gnu.org> | |
10354 | ||
10355 | * net/rcirc.el (rcirc-markup-attributes): Don't skip a character | |
10356 | after processing an escape sequence. | |
10357 | ||
10358 | 2008-06-29 Michael Albinus <michael.albinus@gmx.de> | |
10359 | ||
10360 | * net/tramp-ftp.el (tramp-ftp-file-name-handler): Revert patch | |
10361 | from 2008-06-28. It does not work on W32. | |
10362 | ||
10363 | 2008-06-29 Juri Linkov <juri@jurta.org> | |
10364 | ||
10365 | * replace.el (read-regexp): New function. | |
10366 | (keep-lines-read-args, occur-read-primary-args): | |
10367 | Call `read-regexp' instead of code moved to new function. | |
10368 | (keep-lines, flush-lines, how-many): Remove parenthesis and colon | |
10369 | from the end of prompt. | |
10370 | (replace-re-search-function): Doc fix. | |
10371 | ||
10372 | 2008-06-29 Kenichi Handa <handa@m17n.org> | |
10373 | ||
10374 | * descr-text.el (describe-char-display): Always return a string. | |
10375 | (describe-char-padded-string): New function. | |
10376 | (describe-char): Adjusted for the change of | |
10377 | describe-char-display. Use describe-char-padded-string. | |
10378 | ||
10379 | 2008-06-29 Andreas Schwab <schwab@suse.de> | |
10380 | ||
10381 | * vc-dir.el (vc-dir): Make backend argument optional and use | |
10382 | vc-responsible-backend when nil. Interactively pass nil for | |
10383 | backend instead of using the backend of what happens to be | |
10384 | default-directory at the time of the call. | |
10385 | ||
10386 | * find-dired.el (find-dired-filter): Preserve point. | |
10387 | ||
10388 | 2008-06-28 Juanma Barranquero <lekktu@gmail.com> | |
10389 | ||
10390 | * net/sasl.el (sasl-client-set-property, sasl-make-mechanism) | |
10391 | (sasl-find-mechanism, sasl-next-step): | |
10392 | * net/sasl-ntlm.el (sasl-ntlm-steps, sasl-ntlm-request) | |
10393 | (sasl-ntlm-response): Fix typos in docstrings. | |
10394 | ||
10395 | 2008-06-28 robert marshall <robert@capuchin.co.uk> (tiny change) | |
10396 | ||
10397 | * international/mule-cmds.el (view-hello-file): Doc fix. | |
10398 | ||
10399 | 2008-06-28 Chong Yidong <cyd@stupidchicken.com> | |
10400 | ||
10401 | * faces.el (inhibit-face-set-after-frame-default): Var deleted. | |
10402 | (set-face-attribute, face-set-after-frame-default)): Don't use it. | |
10403 | ||
10404 | 2008-06-28 John Paul Wallington <jpw@pobox.com> | |
10405 | ||
10406 | * calc/calc.el (defmath): Add `doc-string' decl. Add docstring. | |
10407 | ||
10408 | 2008-06-28 Michael Albinus <michael.albinus@gmx.de> | |
10409 | ||
10410 | * net/tramp.el (tramp-handle-file-modes): Handle symlinks. | |
10411 | (tramp-convert-file-attributes): Convert symlinks only when | |
10412 | returned from `tramp-handle-file-attributes-with-stat'. | |
10413 | ||
10414 | * net/tramp-ftp.el (top): Delete 'ange-ftp property from | |
10415 | `substitute-in-file-name' when unloading. | |
10416 | (tramp-ftp-file-name-handler): Set `tramp-mode' to nil. | |
10417 | ||
10418 | 2008-06-28 Dan Nicolaescu <dann@ics.uci.edu> | |
10419 | ||
10420 | * vc-dir.el (vc-dir-hide-up-to-date): Also hide empty directories. | |
10421 | (vc-string-prefix-p): Move function ... | |
10422 | * vc.el (vc-string-prefix-p): ... here. | |
10423 | ||
10424 | 2008-06-27 Juanma Barranquero <lekktu@gmail.com> | |
10425 | ||
10426 | * vc-dir.el (vc-dir): Complete only directory names. | |
10427 | ||
10428 | 2008-06-27 Jason Rumney <jasonr@gnu.org> | |
10429 | ||
10430 | * w32-fns.el (top-level): Unconditionally define all charsets. | |
10431 | ||
10432 | 2008-06-27 Alan Mackenzie <acm@muc.de> | |
10433 | ||
10434 | * progmodes/cc-mode.el (c-mode-base-map): Don't bind C-M-[ae] to | |
10435 | the CC Mode specific functions; this is no longer needed, since | |
10436 | {beginning,end}-of-defun now pass ARG to ...-of-defun-function. | |
10437 | ||
10438 | * progmodes/cc-defs.el (c-emacs-features): New feature | |
10439 | 'argumentative-bod-function. | |
10440 | ||
10441 | 2008-06-27 John Paul Wallington <jpw@pobox.com> | |
10442 | ||
10443 | * chistory.el (list-command-history): Use `bound-and-true-p'. | |
10444 | (command-history-map): Define within defvar. Add docstring. | |
10445 | ||
10446 | 2008-06-27 Juanma Barranquero <lekktu@gmail.com> | |
10447 | ||
10448 | * image.el (image-jpeg-p): Don't fail if the arg cannot be converted | |
10449 | to unibyte; just assume it is not a JPEG. Use `string-match-p'. | |
10450 | (image-type-from-data, image-type-from-file-name): Use `string-match-p'. | |
10451 | (image-type-from-buffer): Use `looking-at-p'. | |
10452 | ||
10453 | 2008-06-27 Juanma Barranquero <lekktu@gmail.com> | |
10454 | ||
10455 | * ibuf-ext.el (diff-sentinel): Declare. | |
10456 | ||
10457 | 2008-06-27 Glenn Morris <rgm@gnu.org> | |
10458 | ||
10459 | * calendar/lunar.el (calendar-lunar-phases): Rename from | |
10460 | calendar-phases-of-moon. Keep old name as alias, update callers. | |
10461 | (lunar-phases): Rename from phases-of-moon. Keep old name as alias. | |
10462 | (diary-lunar-phases): Rename from diary-phases-of-moon. | |
10463 | Keep old name as alias. | |
10464 | * calendar/cal-menu.el (cal-menu-sunmoon-menu) | |
10465 | (cal-menu-global-mouse-menu): Update for lunar name changes. | |
10466 | * calendar/calendar.el (calendar-mode-map): Update for name change. | |
10467 | * calendar/diary-lib.el (diary-list-sexp-entries): Doc update. | |
10468 | ||
10469 | 2008-06-26 Glenn Morris <rgm@gnu.org> | |
10470 | ||
10471 | * calendar/solar.el (solar-sunrise-sunset-string): Add optional | |
10472 | argument `nolocation'. | |
10473 | (calendar-sunrise-sunset-month): New function. | |
10474 | * calendar/cal-menu.el (cal-menu-sunmoon-menu): Rename from | |
10475 | cal-menu-moon-menu. Add calendar-sunrise-sunset-month. | |
10476 | (cal-menu-global-mouse-menu): Add calendar-sunrise-sunset-month. | |
10477 | * calendar/calendar.el (solar-sunrises-buffer): New constant. | |
10478 | (calendar-mode-map): Use cal-menu-sunmoon-menu. | |
10479 | (calendar-buffer-list): Add solar-sunrises-buffer. | |
10480 | ||
10481 | * calendar/cal-menu.el (cal-menu-goto-menu): Tweak menu name. | |
10482 | ||
10483 | 2008-06-26 Chong Yidong <cyd@stupidchicken.com> | |
10484 | ||
10485 | * cus-start.el: Add customization types for word-wrap and | |
10486 | shift-select-mode. | |
10487 | ||
10488 | 2008-06-26 John Paul Wallington <jpw@pobox.com> | |
10489 | ||
10490 | * ibuf-ext.el (ibuffer-diff-buffer-with-file-1): New function. | |
10491 | (ibuffer-diff-with-file): Use it. Do diff on marked buffers. | |
10492 | (ibuffer-mark-on-buffer): Don't display message when removing marks. | |
10493 | (ibuffer-mark-by-mode): Use `buffer-local-value'. | |
10494 | ||
10495 | 2008-06-26 Dan Nicolaescu <dann@ics.uci.edu> | |
10496 | ||
10497 | * vc-dir.el (tool-bar): Require. | |
10498 | ||
10499 | * vc.el (ewoc, tool-bar): Do not require. | |
10500 | ||
10501 | 2008-06-26 Kenichi Handa <handa@m17n.org> | |
10502 | ||
10503 | * international/mule-cmds.el (encode-coding-char): Fix for ASCII | |
10504 | characters. | |
10505 | ||
10506 | * composite.el (terminal-composition-base-character-p): New function. | |
10507 | (terminal-composition-function): | |
10508 | Use terminal-composition-base-character-p. Include the base character | |
10509 | in the composition. | |
10510 | (auto-compose-chars): Don't check font-object for terminal display. | |
10511 | ||
10512 | 2008-06-26 Glenn Morris <rgm@gnu.org> | |
10513 | ||
10514 | * doc-view.el (bookmark-make-record-default): | |
10515 | * image-mode.el (bookmark-make-record-default): Fix declaration. | |
10516 | ||
10517 | * calendar/calendar.el (calendar-date-echo-text): Doc fix. | |
10518 | Add default :value for sexp type. | |
10519 | (calendar-month-edges): New variable. | |
10520 | (calendar-month-edges): New function. | |
10521 | (calendar-recompute-layout-variables): Set calendar-month-edges. | |
10522 | (calendar-intermonth-header, calendar-intermonth-text): New options. | |
10523 | (calendar-insert-at-column): New function. | |
10524 | (calendar-generate-month): Use calendar-insert-at-column. | |
10525 | Handle intermonth text. Add 'date property. | |
10526 | (calendar-column-to-month): Remove function. | |
10527 | (calendar-column-to-segment): New function. | |
10528 | (calendar-cursor-to-date): Use calendar-column-to-segment. | |
10529 | Check 'date property. | |
10530 | ||
10531 | * calendar/calendar.el (calendar-print-other-dates): | |
10532 | Handle mouse events. | |
10533 | * calendar/cal-menu.el (calendar-mouse-print-dates): Remove function. | |
10534 | (cal-menu-context-mouse-menu): Use calendar-print-other-dates. | |
10535 | ||
10536 | * calendar/holidays.el (calendar-cursor-holidays): Handle mouse events. | |
10537 | * calendar/cal-menu.el (calendar-mouse-holidays): Remove function. | |
10538 | (cal-menu-context-mouse-menu): Use calendar-cursor-holidays. | |
10539 | ||
10540 | * calendar/cal-move.el (calendar-cursor-to-nearest-date): | |
10541 | Use calendar-column-to-segment, calendar-month-edges, and | |
10542 | the 'date property to handle intermonth text. | |
10543 | ||
10544 | * calendar/cal-iso.el (calendar-iso-from-absolute): Add autoload cookie. | |
10545 | ||
10546 | * calendar/cal-menu.el (cal-menu-moon-menu, cal-menu-diary-menu) | |
10547 | (cal-menu-holidays-menu, cal-menu-goto-menu, cal-menu-scroll-menu): | |
10548 | Add doc strings. | |
10549 | (cal-menu-context-mouse-menu): Add some :keys. | |
10550 | (calendar-check-holidays): Declare rather than autoloading. | |
10551 | (diary-show-holidays-flag): Remove unneeded declaration. | |
10552 | ||
10553 | * calendar/cal-menu.el (cal-menu-moon-menu): Add sunrise/sunset. | |
10554 | * calendar/calendar.el (calendar-cursor-to-date): Handle case where | |
10555 | event-start is nil. | |
10556 | ||
10557 | 2008-06-26 Stefan Monnier <monnier@iro.umontreal.ca> | |
10558 | ||
10559 | * minibuffer.el (completion-basic-try-completion): Use the text after | |
10560 | point to constrain the completion candidates. | |
10561 | (completion-basic-all-completions): Adjust accordingly. | |
10562 | ||
10563 | 2008-06-25 Chong Yidong <cyd@stupidchicken.com> | |
10564 | ||
10565 | * textmodes/tex-mode.el (tex-verbatim): Use monospace instead of | |
10566 | courier. | |
10567 | ||
10568 | * faces.el (set-face-attribute): Doc fix. | |
10569 | ||
10570 | 2008-06-25 Juri Linkov <juri@jurta.org> | |
10571 | ||
10572 | * bindings.el (goto-map): New variable. Rebind goto-related | |
10573 | commands to this `M-g' prefix keymap. | |
10574 | (search-map): New variable for `M-s' prefix keymap. | |
10575 | Bind `M-s o' to `occur', `M-shr' to `highlight-regexp', `M-shp' to | |
10576 | `highlight-phrase', `M-shl' to `highlight-lines-matching-regexp', | |
10577 | `M-shu' to `unhighlight-regexp', `M-shf' to `hi-lock-find-patterns', | |
10578 | `M-shw' to `hi-lock-write-interactive-patterns'. | |
10579 | ||
10580 | * isearch.el (isearch-mode-map): Bind `M-s h r' to | |
10581 | `isearch-highlight-regexp'. | |
10582 | (isearch-highlight-regexp): New function. | |
10583 | ||
10584 | 2008-06-25 Stefan Monnier <monnier@iro.umontreal.ca> | |
10585 | ||
10586 | * info.el (Info-bookmark-make-record): | |
10587 | Use bookmark-make-record-default. | |
10588 | (Info-bookmark-jump): Use bookmark-default-handler. | |
10589 | ||
10590 | * image-mode.el (image-bookmark-make-record): | |
10591 | Use bookmark-make-record-default. | |
10592 | (image-bookmark-jump): Use bookmark-default-handler. | |
10593 | ||
10594 | * doc-view.el (doc-view-bookmark-make-record): | |
10595 | Use bookmark-make-record-default. | |
10596 | (doc-view-bookmark-jump): Use bookmark-default-handler. | |
10597 | ||
10598 | * bookmark.el (bookmark-alist): Allow the 2 slightly different formats | |
10599 | used until now in bookmark.el's code. | |
10600 | (bookmark-get-bookmark): Accept bookmark names or bookmark records. | |
10601 | (bookmark-get-bookmark-record): Allow the 2 slightly different formats | |
10602 | used until now in bookmark.el's code. | |
10603 | (bookmark-set-filename): Remove special code, moved to its only caller. | |
10604 | (bookmark-store): Use the newer format. | |
10605 | (bookmark-make-record-default): Add arg `point-only'. | |
10606 | Rename from bookmark-make-record-for-text-file. | |
10607 | (bookmark--jump-via): New function. | |
10608 | (bookmark-jump, bookmark-jump-other-window, bookmark-bmenu-2-window) | |
10609 | (bookmark-bmenu-other-window, bookmark-bmenu-switch-other-window): | |
10610 | Use it. | |
10611 | (bookmark-jump-noselect, bookmark-default-handler): | |
10612 | Don't return an alist, instead return the data implicitly by changing | |
10613 | current buffer and point. Signal an error if the file doesn't exist. | |
10614 | ||
10615 | * buff-menu.el: Use with-current-buffer and inhibit-read-only. | |
10616 | (Buffer-menu-toggle-read-only): Avoid vc-toggle-read-only. | |
10617 | ||
10618 | * bs.el: Use with-current-buffer. Simplify. | |
10619 | (bs-toggle-readonly): Avoid vc-toggle-read-only. | |
10620 | ||
10621 | * eshell/esh-cmd.el (eshell-manipulate): Check eshell-debug-command | |
10622 | is bound before using it. | |
10623 | ||
10624 | 2008-06-25 Kenichi Handa <handa@m17n.org> | |
10625 | ||
10626 | * image.el (image-jpeg-p): Convert DATA to unibyte at first. | |
10627 | ||
10628 | 2008-06-25 Dan Nicolaescu <dann@ics.uci.edu> | |
10629 | ||
10630 | * vc-hg.el: | |
10631 | * vc-git.el: Require vc-dir when compiling. | |
10632 | ||
10633 | * vc-dir.el (vc-dir-marked-only-files-and-states): Rename from | |
10634 | vc-dir-marked-only-files. Change the return value. | |
10635 | (vc-dir-child-files-and-states): Rename from vc-dir-child-files. | |
10636 | Change the return value. | |
10637 | (vc-dir-deduce-fileset): New function broken out from ... | |
10638 | * vc.el (vc-deduce-fileset): ... here and ... | |
10639 | (vc-deduce-fileset): ... here. | |
10640 | ||
10641 | * vc-svn.el (vc-annotate-parent-rev): Pacify byte compiler. | |
10642 | (vc-svn-parse-status): Do not set the vc-backend property. | |
10643 | ||
10644 | 2008-06-25 Andreas Schwab <schwab@suse.de> | |
10645 | ||
10646 | * faces.el (face-font-family-alternatives, variable-pitch): | |
10647 | Use "Sans Serif", not "Sans-Serif". | |
10648 | ||
10649 | 2008-06-24 Chong Yidong <cyd@stupidchicken.com> | |
10650 | ||
10651 | * faces.el (face-font-family-alternatives, variable-pitch): | |
10652 | Change "Sans" to the canonical name "Sans-Serif". | |
10653 | ||
10654 | 2008-06-24 Jay Belanger <jay.p.belanger@gmail.com> | |
10655 | ||
10656 | * calc/calc.el (calc-mode-map): Add extra keybindings to | |
10657 | `calc-missing-key'. | |
10658 | * calc/calc-ext.el (calc-init-extensions): Add keybinding for | |
10659 | `kill-ring-save'. | |
10660 | ||
10661 | 2008-06-24 Miles Bader <miles@gnu.org> | |
10662 | ||
10663 | * mouse.el (mouse-appearance-menu): Don't do anything if the user | |
10664 | pops up the menu but doesn't select anything. | |
10665 | ||
10666 | 2008-06-24 Dan Nicolaescu <dann@ics.uci.edu> | |
10667 | ||
10668 | * add-log.el (add-change-log-entry): Add new arg to force each new | |
10669 | entry to be on a new line. | |
10670 | * diff-mode.el (diff-add-change-log-entries-other-window): Use it. | |
10671 | ||
10672 | * vc-dir.el (vc-client-object): Remove. | |
10673 | (vc-dir-prepare-status-buffer): Take a backend as an argument and | |
10674 | use it when looking for a buffer. | |
10675 | (vc-dir): Add a backend argument. Set revert-buffer-function. | |
10676 | Don't create a client object. Move bindings ... | |
10677 | (vc-dir-menu-map, vc-dir-mode-map): ... here. | |
10678 | (vc-dir-revert-buffer-function): New function. | |
10679 | (vc-generic-status-printer): Rename to ... | |
10680 | (vc-dir-status-printer): ... this. | |
10681 | (vc-generic-state, vc-generic-status-fileinfo-extra) | |
10682 | (vc-dir-extra-menu, vc-make-backend-object): Remove. | |
10683 | (vc-default-status-printer): Use a different face for | |
10684 | directories. Don't display any text for directories in the state | |
10685 | column. Add tooltips. | |
10686 | ||
10687 | * vc.el (Todo): Update. | |
10688 | ||
10689 | * vc-hg.el (vc-annotate-convert-time, vc-default-status-printer): | |
10690 | * vc-rcs.el (vc-annotate-convert-time): | |
10691 | * vc-mtn.el (vc-annotate-convert-time): | |
10692 | * vc-git.el (vc-annotate-convert-time): | |
10693 | * vc-cvs.el (vc-annotate-convert-time): | |
10694 | * vc-bzr.el (vc-annotate-convert-time): Declare as functions. | |
10695 | ||
10696 | 2008-06-23 Dan Nicolaescu <dann@ics.uci.edu> | |
10697 | ||
10698 | * vc-annotate.el (vc-annotate-mode): Derive from special-mode | |
10699 | instead of using view-mode. | |
10700 | ||
10701 | 2008-06-23 Stefan Monnier <monnier@iro.umontreal.ca> | |
10702 | ||
10703 | * Makefile.in (distclean): Don't delete *.elc and autogen files. | |
10704 | ||
10705 | 2008-06-22 Dan Nicolaescu <dann@ics.uci.edu> | |
10706 | ||
10707 | * vc.el: | |
10708 | * vc-hooks.el: | |
10709 | * vc-dispatcher.el: Move vc-dir variables and functions ... | |
10710 | * vc-dir.el: ... here. New file. | |
10711 | * Makefile.in (ELCFILES): Add vc-dir.elc. | |
10712 | ||
10713 | * vc.el: Move vc-annotate variables and functions ... | |
10714 | * vc-annotate.el: ... here. New file. | |
10715 | * Makefile.in (ELCFILES): Add vc-annotate.elc. | |
10716 | ||
10717 | * vc-dav.el: Move here from url/vc-dav.el. | |
10718 | (Todo): Note work needed to make this backend functional. | |
10719 | ||
10720 | * Makefile.in (ELCFILES): Update vc-dav.el location. | |
10721 | ||
10722 | 2008-06-22 Stefan Monnier <monnier@iro.umontreal.ca> | |
10723 | ||
10724 | * Makefile.in (COMPILE_FIRST): Trim down and add autoload.el. | |
10725 | ||
10726 | 2008-06-21 John Paul Wallington <jpw@pobox.com> | |
10727 | ||
10728 | * emacs-lisp/derived.el (define-derived-mode): Add `doc-string' decl. | |
10729 | ||
10730 | * textmodes/rst.el (rst-mode): Put docstring in right place. | |
10731 | ||
10732 | 2008-06-21 Chong Yidong <cyd@stupidchicken.com> | |
10733 | ||
10734 | * startup.el (fancy-about-text, fancy-startup-tail): Increase text | |
10735 | size for legibility. | |
10736 | ||
10737 | * faces.el (fixed-pitch): Use "Monospace" family. | |
10738 | (variable-pitch): Use "Sans" family. | |
10739 | (face-font-family-alternatives): Define alternatives for "Sans" | |
10740 | and "Monospace". | |
10741 | ||
10742 | 2008-06-21 Glenn Morris <rgm@gnu.org> | |
10743 | ||
10744 | * mail/hashcash.el, net/tramp-smb.el, nxml/nxml-mode.el: | |
10745 | Require 'cl when compiling. | |
10746 | ||
10747 | * emacs-lisp/debug.el (help-xref-interned): Declare. | |
10748 | ||
10749 | * emacs-lisp/easy-mmode.el (easy-mmode-set-keymap-parents): | |
10750 | Evaluate definition when compiling. | |
10751 | ||
10752 | * progmodes/bug-reference.el (bug-reference-map): Bind down-mouse-1 | |
10753 | rather than mouse-1. | |
10754 | (bug-reference-url-format): Autoload safe if string. | |
10755 | (bug-reference-bug-regexp): Make space after "bug" optional. | |
10756 | ||
10757 | * Makefile.in (all): Explicitly pass EMACS to sub-make, | |
10758 | for some non-GNU makes. | |
10759 | ||
10760 | * cus-dep.el (custom-dependencies-no-scan-regexp): | |
10761 | * finder.el (finder-no-scan-regexp): Add ldefs-boot.el | |
10762 | ||
10763 | * calendar/cal-move.el (calendar-forward-day): Scroll in one month | |
10764 | increments. | |
10765 | ||
10766 | * calendar/calendar.el: Factor out the magic numbers controlling the | |
10767 | calendar layout. | |
10768 | (calendar-month-digit-width, calendar-month-width) | |
10769 | (calendar-right-margin): New variables. | |
10770 | (calendar-recompute-layout-variables, calendar-set-layout-variable): | |
10771 | New functions. | |
10772 | (calendar-left-margin, calendar-intermonth-spacing) | |
10773 | (calendar-column-width, calendar-day-header-width) | |
10774 | (calendar-day-digit-width): New options. | |
10775 | (calendar-first-date-row): New constant. | |
10776 | (calendar-move-to-column, calendar-ensure-newline): New functions, | |
10777 | replacing calendar-insert-indented. | |
10778 | (calendar-insert-indented): Remove function. | |
10779 | (calendar-generate-month): Use calendar-move-to-column and | |
10780 | calendar-ensure-newline. Use layout variables. | |
10781 | (calendar-generate, calendar-update-mode-line) | |
10782 | (calendar-font-lock-keywords): Use layout variables. | |
10783 | (calendar-column-to-month): New function. | |
10784 | (calendar-cursor-to-date): Use calendar-column-to-month. | |
10785 | Use layout variables. | |
10786 | * calendar/cal-move.el (calendar-cursor-to-nearest-date): | |
10787 | Use layout variables. Use calendar-column-to-month. | |
10788 | (calendar-cursor-to-visible-date): Use layout variables. | |
10789 | ||
10790 | 2008-06-21 Stefan Monnier <monnier@iro.umontreal.ca> | |
10791 | ||
10792 | * Makefile.in (update-elclist): Don't exclude COMPILE_FIRST. | |
10793 | (all): Run compile-last. | |
10794 | (compile-onefile): New target. | |
10795 | (compile-first): Simplify. | |
10796 | (compile-last): Don't treat COMPILE_FIRST specially. | |
10797 | (COMPILE_FIRST): List the elc files rather than the el files. | |
10798 | (ELCFILES): Update. | |
10799 | ||
77a01f9b | 10800 | 2008-06-21 Ulf Jasper <ulf.jasper@web.de> |
e3d51b27 MR |
10801 | |
10802 | * net/newst-treeview.el: Remove dead code. | |
10803 | (newsticker--window-config): Remove. | |
10804 | (newsticker-treeview-quit): Do not save window config. | |
10805 | (newsticker-treeview): Do not try to restore window config. | |
10806 | (newsticker-groups, newsticker--treeview-list-sort-by-column) | |
10807 | (newsticker--treeview-list-new-items) | |
10808 | (newsticker--treeview-list-immortal-items) | |
10809 | (newsticker--treeview-list-obsolete-items) | |
10810 | (newsticker--treeview-list-all-items) | |
10811 | (newsticker--treeview-list-feed-items): Fix documentation. | |
10812 | ||
10813 | 2008-06-21 Miles Bader <miles@gnu.org> | |
10814 | ||
10815 | * face-remap.el (text-scale-adjust): Bind `echo-keystrokes' to nil. | |
10816 | ||
10817 | 2008-06-21 Alan Mackenzie <acm@muc.de> | |
10818 | ||
10819 | * progmodes/cc-engine.el (c-guess-basic-syntax CASE 5D.5): Fix an | |
10820 | infinite loop on invalid syntax. | |
10821 | ||
10822 | 2008-06-21 Stefan Monnier <monnier@iro.umontreal.ca> | |
10823 | ||
10824 | * textmodes/rst.el: Run rst-define-level-faces when loading. | |
10825 | (rst-mode): Don't set the font-lock-multiline var. | |
10826 | (rst-font-lock-find-unindented-line, rst-font-lock-handle-adornment): | |
10827 | Set the font-lock-multiline property by hand. | |
10828 | ||
10829 | * loadup.el: Don't add emacs-<VERS> name when bootstrapping. | |
10830 | ||
10831 | * Makefile.in (emacs-deps): Remove. | |
10832 | ($(lisp)/cus-load.el, $(lisp)/finder-inf.el): Re-add. | |
10833 | (all): Use them. | |
10834 | (autogen-clean): Remove. | |
10835 | ||
10836 | 2008-06-20 Stefan Monnier <monnier@iro.umontreal.ca> | |
10837 | ||
10838 | * Makefile.in (all): New target. | |
10839 | (bootstrap-prepare): Remove. | |
10840 | ||
10841 | * Makefile.in ($(lisp)/progmodes/cc-mode.elc): Pass the .el file not | |
10842 | the .elc file to batch-byte-compile. | |
10843 | ||
10844 | * progmodes/cc-mode.el (c-make-inherited-keymap): Only use | |
10845 | set-keymap-parents if set-keymap-parent doesn't exist. | |
10846 | ||
10847 | * vc-bzr.el (vc-bzr-annotate-extract-revision-at-line): | |
10848 | Allow more than one space before the |. | |
10849 | ||
10850 | * textmodes/rst.el: Fix up docstring conventions. | |
10851 | Move vars to before their first use. | |
10852 | (rst-mode): Don't mess with font-lock-support-mode. | |
10853 | (rst-suggest-new-decoration, rst-adjust-decoration): | |
10854 | Avoid CL's copy-list. | |
10855 | (rst-delete-entire-line): Use line-beginning-position. | |
10856 | (rst-position): New fun. | |
10857 | (rst-straighten-decorations): Use it instead of CL's position. | |
10858 | (rst-straighten-bullets-region): Avoid CL's mapcar*. | |
10859 | (rst-toc-mode): Use define-derived-mode. | |
10860 | (rst-iterate-leftmost-paragraphs, rst-iterate-leftmost-paragraphs-2): | |
10861 | Remove unused var `in-par'. Use `point' rather than `point-marker'. | |
10862 | (rst-line-block-region): Reduce redundancy. Use the `pfxarg' arg. | |
10863 | (rst-replace-lines): Simplify. | |
10864 | (auto-mode-alist): Use rst-mode for *.rst and *.rest files. | |
10865 | ||
10866 | * simple.el (special-mode-map): New var. | |
10867 | (special-mode): New major mode. | |
10868 | ||
10869 | 2008-06-20 Dan Nicolaescu <dann@ics.uci.edu> | |
10870 | ||
10871 | * vc-mtn.el (vc-mtn-log-view-mode): Set log-view-per-file-logs and | |
10872 | log-view-file-re. | |
10873 | ||
10874 | * vc.el (vc-dir-hide-up-to-date): Undo previous change. | |
10875 | (vc-switch-backend): Simplify. | |
10876 | (Todo): Remove solved items. | |
10877 | ||
10878 | * vc-cvs.el (vc-cvs-parse-status, vc-cvs-parse-entry): Do not set | |
10879 | the vc-backend property. | |
10880 | ||
10881 | 2008-06-20 Stefan Monnier <monnier@iro.umontreal.ca> | |
10882 | ||
10883 | * emacs-lisp/checkdoc.el (checkdoc-start-section, checkdoc-error): | |
10884 | Bind inhibit-read-only since the buffer is always read-only. | |
10885 | ||
77a01f9b | 10886 | 2008-06-20 Ulf Jasper <ulf.jasper@web.de> |
e3d51b27 MR |
10887 | |
10888 | * net/newst-treeview.el (newsticker-treeview-own-frame): | |
10889 | Change default value to nil. | |
10890 | (newsticker--treeview-list-add-item) | |
10891 | (newsticker--treeview-propertize-tag): Show item title in tooltip. | |
10892 | ||
10893 | 2008-06-20 Martin Blais <blais@furius.ca> | |
10894 | Stefan Merten <smerten@oekonux.de> | |
10895 | David Goodger <goodger@python.org> | |
10896 | ||
10897 | * textmodes/rst.el: New file. | |
10898 | ||
10899 | 2008-06-20 Sam Steingold <sds@gnu.org> | |
10900 | ||
10901 | * vc.el (vc-dir-hide-up-to-date): Accept a prefix argument to also | |
10902 | remove DIRECTORY entries. | |
10903 | ||
10904 | 2008-06-20 Eli Zaretskii <eliz@gnu.org> | |
10905 | ||
10906 | * makefile.w32-in (distclean): Depend on `clean'. | |
10907 | (clean): Delete calc/calc-loaddefs.el~ and eshell/esh-groups.el~. | |
10908 | (AUTOGENEL): Add $(lisp)/nxml/subdirs.el. | |
10909 | ($(lisp)/cus-load.el): Don't use `touch'. Instead, generate an | |
10910 | empty cus-load.el with `echo', and include "Local Variables" | |
10911 | section to prevent the empty file from being compiled. | |
10912 | (cus-load.el-SH, cus-load.el-CMD): New SHELLTYPE-specific targets | |
10913 | that generate an empty cus-load.el. | |
10914 | ||
10915 | 2008-06-20 Juanma Barranquero <lekktu@gmail.com> | |
10916 | ||
10917 | * makefile.w32-in (bootstrap-clean-CMD, bootstrap-clean-SH): | |
10918 | Don't copy ldefs-boot.el over loaddefs.el. | |
10919 | (bootstrap-clean): Remove loaddefs.el and don't depend on it. | |
10920 | (loaddefs.el-SH, loaddefs.el-CMD): Don't add autoloads and defvars | |
10921 | to loaddefs.el; they are not needed now. Add coding cookie. | |
10922 | ||
10923 | 2008-06-20 Miles Bader <miles@gnu.org> | |
10924 | ||
10925 | * face-remap.el (face-remap-add-relative, face-remap-set-base): | |
10926 | Strip unnecessary list levels from SPECS. | |
10927 | (buffer-face-set, buffer-face-toggle): | |
10928 | Change argument from FACE to &rest SPECS, and strip unnecessary | |
10929 | list levels from SPECS. | |
10930 | (buffer-face-mode-invoke): Change argument from FACE to SPECS. | |
10931 | ||
10932 | 2008-06-20 Jason Rumney <jasonr@gnu.org> | |
10933 | ||
10934 | * international/fontset.el (setup-default-fontset): Specify script | |
10935 | for latin use of iso10646-1. Fix use of lang tags. | |
10936 | ||
10937 | 2008-06-19 Miles Bader <miles@gnu.org> | |
10938 | ||
10939 | * face-remap.el (text-scale-increase): Start from zero if | |
10940 | text-scale-mode isn't enabled. | |
10941 | ||
10942 | 2008-06-19 Stefan Monnier <monnier@iro.umontreal.ca> | |
10943 | ||
10944 | * faces.el (face-set-after-frame-default): Re-apply explicit `font' | |
10945 | frame parameters after setting up the `default' face. | |
10946 | ||
10947 | 2008-06-18 Stefan Monnier <monnier@iro.umontreal.ca> | |
10948 | ||
10949 | * faces.el (face-set-after-frame-default): Don't exclude `default'. | |
10950 | ||
10951 | 2008-06-18 Glenn Morris <rgm@gnu.org> | |
10952 | ||
10953 | * mouse.el (buffer-face-mode-invoke): Declare. | |
10954 | ||
10955 | * Makefile.in (ELCFILES): Add org/org-id.elc. | |
10956 | ||
10957 | * calendar/holidays.el (calendar-cursor-holidays): Accept optional date. | |
10958 | * calendar/cal-menu.el (cal-menu-holidays-menu): | |
10959 | Use calendar-cursor-holidays rather than cal-menu-today-holidays. | |
10960 | (cal-menu-today-holidays): Remove function. | |
10961 | ||
10962 | * calendar/cal-menu.el (cal-menu-holiday-window-suffix): Simplify. | |
10963 | (cal-menu-list-holidays-year, cal-menu-list-holidays-following-year) | |
10964 | (cal-menu-list-holidays-previous-year, calendar-mouse-goto-date): | |
10965 | Remove unused functions. | |
10966 | (calendar-mouse-view-diary-entries): Use format rather than concat. | |
10967 | ||
10968 | * calendar/cal-menu.el (cal-menu-x-popup-menu): Turn it into a macro. | |
10969 | (calendar-mouse-holidays, calendar-mouse-view-diary-entries) | |
10970 | (calendar-mouse-print-dates): Adapt for cal-menu-x-popup-menu change. | |
10971 | ||
10972 | * calendar/cal-menu.el (cal-menu-event-to-date): Remove function. | |
10973 | (calendar-mouse-holidays, calendar-mouse-view-diary-entries) | |
10974 | (calendar-mouse-view-other-diary-entries, calendar-mouse-print-dates) | |
10975 | (cal-menu-set-date-title): Use calendar-cursor-to-date rather than | |
10976 | cal-menu-event-to-date. | |
10977 | ||
10978 | * calendar/cal-html.el (cal-html-cursor-month, cal-html-cursor-year): | |
10979 | Handle mouse events. | |
10980 | * calendar/cal-tex.el (cal-tex-cursor-year) | |
10981 | (cal-tex-cursor-year-landscape, cal-tex-cursor-filofax-year) | |
10982 | (cal-tex-cursor-month-landscape, cal-tex-cursor-month) | |
10983 | (cal-tex-cursor-week, cal-tex-cursor-week2, cal-tex-cursor-week-iso) | |
10984 | (cal-tex-cursor-week-monday, cal-tex-cursor-filofax-2week) | |
10985 | (cal-tex-cursor-filofax-week, cal-tex-cursor-filofax-daily) | |
10986 | (cal-tex-cursor-day): Handle mouse events. Rename ARG to N. | |
10987 | (cal-tex-cursor-month): Mark N as optional. | |
10988 | * calendar/cal-menu.el (calendar-mouse-tex-day) | |
10989 | (calendar-mouse-tex-week, calendar-mouse-tex-week2) | |
10990 | (calendar-mouse-tex-week-iso, calendar-mouse-tex-week-monday) | |
10991 | (calendar-mouse-tex-filofax-daily, calendar-mouse-tex-filofax-2week) | |
10992 | (calendar-mouse-tex-filofax-week, calendar-mouse-tex-month) | |
10993 | (calendar-mouse-tex-month-landscape, calendar-mouse-tex-year) | |
10994 | (calendar-mouse-tex-filofax-year, calendar-mouse-tex-year-landscape): | |
10995 | Remove functions. | |
10996 | (cal-menu-context-mouse-menu): Replace the above functions with the | |
10997 | cal-tex versions. Add HTML submenu. | |
10998 | ||
10999 | 2008-06-17 Nick Roberts <nickrob@snap.net.nz> | |
11000 | ||
11001 | * progmodes/gdb-ui.el (gud-gdba-marker-filter): Don't switch to | |
11002 | text command mode. | |
11003 | (gdb): Explain that gud-gdb is needed for text command mode. | |
11004 | ||
11005 | 2008-06-17 Martin Rudalics <rudalics@gmx.at> | |
11006 | ||
11007 | * window.el (split-height-threshold): Remove spurious extra line. | |
11008 | ||
11009 | 2008-06-17 Stefan Monnier <monnier@iro.umontreal.ca> | |
11010 | ||
11011 | * calendar/calendar.el (calendar-cursor-to-date): Add argument `event'. | |
11012 | (calendar-set-mark): | |
11013 | * calendar/diary-lib.el (diary-insert-entry): | |
11014 | * calendar/solar.el (calendar-sunrise-sunset): Use it. | |
11015 | * calendar/cal-menu.el (calendar-mouse-sunrise/sunset) | |
11016 | (calendar-mouse-insert-diary-entry, calendar-mouse-set-mark): Delete. | |
11017 | (cal-menu-context-mouse-menu): Use calendar-set-mark, | |
11018 | diary-insert-entry, and calendar-sunrise-sunset instead. | |
11019 | ||
11020 | * vc.el (vc-deduce-fileset): Add arg `only-files'. | |
11021 | (vc-next-action): Pass the new arg. | |
11022 | (vc-register): Don't use `only-files'. | |
11023 | Don't set `backup-inhibited' in the current buffer. | |
11024 | ||
11025 | 2008-06-17 Miles Bader <miles@gnu.org> | |
11026 | ||
11027 | * mouse.el (mouse-appearance-menu): Use buffer-face-mode. | |
11028 | ||
11029 | * face-remap.el (buffer-face-mode-face) | |
11030 | (buffer-face-mode-remapping): New variables. | |
11031 | (buffer-face-mode, buffer-face-set, buffer-face-toggle) | |
11032 | (buffer-face-mode-invoke): New functions. | |
11033 | (variable-pitch-mode-remapping): Variable removed. | |
11034 | (variable-pitch-mode): Rewrite as an interface to `buffer-face-mode'. | |
11035 | ||
11036 | * face-remap.el (internal-lisp-face-attributes): New variable. | |
11037 | (face-attrs-more-relative-p, face-remap-order): New functions. | |
11038 | (face-remap-add-relative): Use `face-remap-order'. | |
11039 | ||
11040 | 2008-06-17 Glenn Morris <rgm@gnu.org> | |
11041 | ||
11042 | * mouse.el (x-select-font): Declare. | |
11043 | ||
11044 | * calendar/calendar.el (calendar-move-hook): | |
11045 | Add calendar-update-mode-line as an option. | |
11046 | (calendar-date-echo-text): New user option. | |
11047 | (calendar-generate-month): Set `day'. Use calendar-date-echo-text. | |
11048 | (calendar-insert-indented): Simplify newline insertion. | |
11049 | (calendar-describe-mode): Remove unused function. | |
11050 | (calendar-mode-line-entry): New function. | |
11051 | (calendar-mode-line-format): Doc fix. Use calendar-mode-line-entry. | |
11052 | Mark as risky. | |
11053 | (calendar-mouse-other-month): Remove function. | |
11054 | (calendar-other-month): Handle mouse events. | |
11055 | (calendar-goto-info-node): Call fit-window-to-buffer. | |
11056 | (calendar-mode): Use define-derived-mode. Doc fix. | |
11057 | (calendar-update-mode-line): Tweak whitespace. | |
11058 | ||
11059 | 2008-06-16 Stefan Monnier <monnier@iro.umontreal.ca> | |
11060 | ||
11061 | * vc-dispatcher.el (vc-dir-child-files): Use vc-string-prefix-p. | |
11062 | (vc-dir-marked-only-files): vc-string-prefix-p. | |
11063 | ||
11064 | 2008-06-16 Nick Roberts <nickrob@snap.net.nz> | |
11065 | ||
11066 | * progmodes/gdb-ui.el (gdb-memory-set-address) | |
11067 | (gdb-memory-set-repeat-count): Allow keyboard bindings. | |
11068 | (gdb-memory-mode-map): Bind above functions respectively | |
11069 | to 'S' and 'N'. | |
11070 | ||
11071 | 2008-06-16 Derek Upham <sand@blarg.net> (tiny change) | |
11072 | ||
11073 | * nxml/nxml-mode.el (nxml-mode): Use run-mode-hooks. | |
11074 | ||
11075 | 2008-06-16 Juanma Barranquero <lekktu@gmail.com> | |
11076 | ||
11077 | * log-view.el (vc-diff-internal): Declare for compiler. | |
11078 | ||
11079 | * vc-bzr.el (log-view-per-file-logs): | |
11080 | * vc-svn.el (log-view-per-file-logs): Pacify byte compiler. | |
11081 | ||
11082 | 2008-06-15 Chong Yidong <cyd@stupidchicken.com> | |
11083 | ||
11084 | * mouse.el (mouse-appearance-menu-map): New var. | |
11085 | (mouse-appearance-menu): New function. | |
11086 | Bind it to S-down-mouse-1. | |
11087 | ||
11088 | 2008-06-15 Juri Linkov <juri@jurta.org> | |
11089 | ||
11090 | * info.el (Info-toc): Call Info-toc-nodes instead of Info-build-toc. | |
11091 | (Info-toc, Info-insert-toc): Increment nth's index to add PARENT | |
11092 | as the second element. | |
11093 | (Info-build-toc): Add PARENT element extracted from the Up pointer. | |
11094 | Don't print progress messages. | |
11095 | (Info-toc-nodes): New variable and function. | |
11096 | (Info-index-nodes): Optimize non-string file name case. | |
11097 | (Info-breadcrumbs-depth): Increment the default value from 3 to 4. | |
11098 | (Info-insert-breadcrumbs): Use the cached document structure instead | |
11099 | of visiting all ancestor nodes. Remove the initial `>'. | |
11100 | ||
11101 | 2008-06-15 Dan Nicolaescu <dann@ics.uci.edu> | |
11102 | ||
11103 | * log-view.el (log-view-diff-changeset): New function. | |
11104 | (log-view-mode-map, log-view-mode-menu): Bind it. | |
11105 | (log-view-per-file-logs, log-view-vc-fileset) | |
11106 | (log-view-vc-backend): New variables. | |
11107 | (log-view-find-revision, log-view-modify-change-comment) | |
11108 | (log-view-annotate-version): Throw an error if the log is for more | |
11109 | than one file and we can't find the current file. Get the current | |
11110 | file from log-view-vc-fileset if necessary. | |
11111 | (log-view-diff): Get the current file from log-view-vc-fileset if | |
11112 | necessary. | |
11113 | ||
11114 | * vc.el (vc-print-log): Set log-view-vc-fileset and log-view-vc-backend. | |
11115 | ||
11116 | * vc-hg.el (vc-hg-log-view-mode): Call the log method only once. | |
11117 | (vc-hg-log-view-mode): Declare for compiler. | |
11118 | (vc-hg-log-view-mode): Set log-view-per-file-logs and | |
11119 | log-view-file-re. | |
11120 | (vc-hg-diff): If no file is passed, use default-directory for cwd. | |
11121 | ||
11122 | * vc-bzr.el (vc-bzr-log-view-mode): Set log-view-per-file-logs. | |
4ab2b63c | 11123 | |
e3d51b27 MR |
11124 | * vc-svn.el (vc-svn-log-view-mode): New derived mode. |
11125 | ||
11126 | 2008-06-15 Michael Albinus <michael.albinus@gmx.de> | |
11127 | ||
11128 | * net/tramp.el (tramp-handle-start-file-process): | |
11129 | Clear modification time of the connection buffer. | |
11130 | (tramp-sh-file-name-handler): Reset `tramp-locked' in case of error. | |
11131 | (tramp-open-connection-setup-interactive-shell): Flush cache, and | |
11132 | restart `tramp-maybe-open-connection' when the remote system has | |
11133 | been changed. Throw 'uname-changed event. | |
11134 | (tramp-maybe-open-connection): Catch it. | |
11135 | ||
11136 | * net/tramp-cmds.el (tramp-cleanup-all-connections): | |
11137 | Reset `tramp-locked'. | |
11138 | ||
77a01f9b | 11139 | 2008-06-15 Ulf Jasper <ulf.jasper@web.de> |
e3d51b27 MR |
11140 | |
11141 | * net/newst-treeview.el (newsticker--treeview-list-update) | |
11142 | (newsticker--treeview-item-update) | |
11143 | (newsticker--treeview-tree-update) | |
11144 | (newsticker-treeview-jump, newsticker-group-add-group) | |
11145 | (newsticker-group-move-feed, newsticker-group-delete-group): | |
11146 | Remove window dedication. | |
11147 | (newsticker--group-manage-orphan-feeds): Handle ill-valued | |
11148 | newsticker-groups. | |
11149 | (newsticker--treeview-tree-expand): Don't manage orphan feeds here. | |
11150 | ||
11151 | 2008-06-15 Andreas Schwab <schwab@suse.de> | |
11152 | ||
11153 | * vc-cvs.el (vc-cvs-status-extra-headers): Don't match newline | |
11154 | when parsing CVS/Repository. | |
11155 | ||
11156 | * wdired.el (wdired-search-and-rename): Fix undocumented change. | |
11157 | ||
77a01f9b | 11158 | 2008-06-15 Ulf Jasper <ulf.jasper@web.de> |
e3d51b27 MR |
11159 | |
11160 | * net/newst-plainview.el (newsticker-faces, newsticker-feed-face) | |
11161 | (newsticker-extra-face, newsticker-enclosure-face): Moved to | |
11162 | net/newst-reader.el. | |
11163 | ||
11164 | * net/newst-reader.el (newsticker-faces, newsticker-feed-face) | |
11165 | (newsticker-extra-face, newsticker-enclosure-face): Moved from | |
11166 | net/newst-reader.el. | |
11167 | ||
11168 | 2008-06-15 Stefan Monnier <monnier@iro.umontreal.ca> | |
11169 | ||
11170 | * international/encoded-kb.el (encoded-kbd-self-insert-utf-8): | |
11171 | Catch and recover from case when the bytes we thought we were reading | |
11172 | turn out to be something else entirely, such as latin-1 chars from | |
11173 | quail. See bug#396. | |
11174 | ||
11175 | 2008-06-15 Dan Nicolaescu <dann@ics.uci.edu> | |
11176 | ||
11177 | * vc.el (vc-deduce-fileset): Check if the buffer has a file. | |
11178 | (vc-register): Call the backend function only once, instead of | |
11179 | once for each file. | |
11180 | (vc-next-action): Update call to vc-register. | |
11181 | (vc-dir-register): Remove function. | |
11182 | (vc-dir): Bind vc-register instead of vc-dir-register. | |
11183 | ||
11184 | 2008-06-14 Glenn Morris <rgm@gnu.org> | |
11185 | ||
11186 | * Makefile.in (ELCFILES): Add net/newst-*.el. | |
11187 | ||
11188 | 2008-06-14 Stefan Monnier <monnier@iro.umontreal.ca> | |
11189 | ||
11190 | * wdired.el (wdired-do-renames): New function. | |
11191 | (wdired-finish-edit): Use it to. | |
11192 | (wdired-preprocess-files): Don't hardcode (point-min) == 1. | |
11193 | ||
11194 | 2008-06-14 Stefan Monnier <monnier@iro.umontreal.ca> | |
11195 | ||
11196 | * info.el (Info-insert-breadcrumbs): Don't break in apropos and toc. | |
11197 | ||
11198 | 2008-06-14 Martin Rudalics <rudalics@gmx.at> | |
11199 | ||
11200 | * window.el (window--even-window-heights): Even window heights | |
11201 | only if the selected window is higher than WINDOW. | |
11202 | Reported by Stephen Berman <Stephen.Berman at gmx.net>. | |
11203 | ||
11204 | 2008-06-14 Stefan Monnier <monnier@iro.umontreal.ca> | |
11205 | ||
11206 | * info.el (Info-insert-breadcrumbs): Be careful to preserve history. | |
11207 | ||
11208 | 2008-06-13 Stefan Monnier <monnier@iro.umontreal.ca> | |
11209 | ||
11210 | * term/linux.el (terminal-init-linux): Load t-mouse. | |
11211 | ||
11212 | 2008-06-13 Stefan Monnier <monnier@iro.umontreal.ca> | |
11213 | Drew Adams <drew.adams@oracle.com> | |
11214 | ||
11215 | * info.el (Info-breadcrumbs-depth): New var. | |
11216 | (Info-insert-breadcrumbs): New function. | |
11217 | (Info-fontify-node): Use it. | |
11218 | (Info-mode-map): Move initialization into declaration. | |
11219 | ||
11220 | 2008-06-13 Ulf Jasper <ulf.jasper@web.de> | |
11221 | ||
11222 | Rename net/newsticker-*.el to net/newst-*.el. | |
11223 | * net/newst-backend.el: Rename from net/newsticker-backend.el. | |
11224 | * net/newst-plainview.el: Rename from net/newsticker-plainview.el. | |
11225 | * net/newst-reader.el: Rename from net/newsticker-reader.el. | |
11226 | * net/newst-ticker.el: Rename from net/newsticker-ticker.el. | |
11227 | * net/newst-treeview.el: Rename from net/newsticker-treeview.el. | |
11228 | * net/newsticker-backend.el: Rename to net/newst-backend.el. | |
11229 | * net/newsticker-plainview.el: Rename to net/newst-plainview.el. | |
11230 | * net/newsticker-reader.el: Rename to net/newst-reader.el. | |
11231 | * net/newsticker-ticker.el: Rename to net/newst-ticker.el. | |
11232 | * net/newsticker-treeview.el: Rename to net/newst-treeview.el. | |
11233 | ||
11234 | 2008-06-13 Stefan Monnier <monnier@iro.umontreal.ca> | |
11235 | ||
11236 | * progmodes/compile.el (compilation-start): Don't disable undo in | |
11237 | comint buffer. Don't override the comint-filter with our own. | |
11238 | (compilation-filter): Change point's insertion-type. | |
11239 | ||
11240 | * comint.el (comint-output-filter): Use copy-marker. | |
11241 | ||
11242 | 2008-06-13 David Reitter <david.reitter@gmail.com> | |
11243 | ||
11244 | * textmodes/flyspell.el (mail-mode-flyspell-verify): | |
11245 | Check message-signature-separator exists before using it. | |
11246 | ||
11247 | 2008-06-13 Dan Nicolaescu <dann@ics.uci.edu> | |
11248 | ||
11249 | * vc.el (vc-delete-file): Bind default-directory before calling | |
11250 | the backend. | |
11251 | (vc-annotate-show-diff-revision-at-line): Move most of the code to ... | |
11252 | (vc-annotate-show-diff-revision-at-line-internal): ... here. | |
11253 | New function. | |
11254 | (vc-annotate-show-changeset-diff-revision-at-line): New function. | |
11255 | (vc-annotate-mode-menu): Bind it. | |
11256 | ||
11257 | 2008-06-13 Jason Rumney <jasonr@gnu.org> | |
11258 | ||
11259 | * term/w32-win.el (mouse-set-font): Remove overridden function. | |
11260 | (w32-select-font): Declare as obsolete alias for x-select-font. | |
11261 | ||
11262 | 2008-06-13 Daniel Engeler <engeler@gmail.com> | |
11263 | ||
11264 | These changes add serial port access. | |
11265 | * term.el (term-update-mode-line): Modify. | |
11266 | (serial-port-is-file-p, serial-nice-speed-history) | |
11267 | (serial-no-speed, serial-mode-line-speed-menu) | |
11268 | (serial-mode-line-config-menu): New variables and constants. | |
11269 | (serial-name-history, serial-speed-history) | |
11270 | (serial-supported-or-barf, serial-read-name, serial-read-speed) | |
11271 | (serial-term, serial-speed, serial-mode-line-speed-menu-1) | |
11272 | (serial-mode-line-speed-menu, serial-update-speed-menu) | |
11273 | (serial-mode-line-config-menu-1, serial-mode-line-config-menu) | |
11274 | (serial-update-config-menu): New functions. | |
11275 | ||
11276 | 2008-06-13 Glenn Morris <rgm@gnu.org> | |
11277 | ||
11278 | * menu-bar.el (menu-set-font): Use fboundp rather than functionp. | |
11279 | ||
11280 | * emacs-lisp/bytecomp.el (byte-compile-maybe-guarded): Doc fix. | |
11281 | ||
11282 | 2008-06-13 Kenichi Handa <handa@m17n.org> | |
11283 | ||
11284 | * cus-face.el (custom-face-attributes): Add :foundry. | |
11285 | ||
11286 | * faces.el (set-face-attribute): Parse "FOUNDRY-FAMILY" here. | |
11287 | (face-x-resources): Add :foundry. | |
11288 | (face-valid-attribute-values): Likewise. | |
11289 | (face-attribute-name-alist): Likewise. | |
11290 | (describe-face): Likewise. | |
11291 | ||
11292 | 2008-06-12 Stefan Monnier <monnier@iro.umontreal.ca> | |
11293 | ||
11294 | * emacs-lisp/map-ynp.el (map-y-or-n-p): Accept non-char events. | |
11295 | ||
11296 | 2008-06-12 Chong Yidong <cyd@stupidchicken.com> | |
11297 | ||
11298 | * longlines.el (longlines-search-forward, longlines-search-backward) | |
11299 | (longlines-re-search-forward): Use a smarter search-spaces-regexp. | |
11300 | ||
11301 | 2008-06-12 Sam Steingold <sds@gnu.org> | |
11302 | ||
11303 | * vc.el (vc-rename-file): DTRT when the destination is a directory | |
11304 | name and the source is a file. | |
11305 | ||
11306 | 2008-06-12 Chong Yidong <cyd@stupidchicken.com> | |
11307 | ||
11308 | * menu-bar.el (menu-set-font): Rename x-font-dialog to x-select-font. | |
11309 | ||
11310 | 2008-06-12 Glenn Morris <rgm@gnu.org> | |
11311 | ||
11312 | * progmodes/f90.el (f90-beginning-of-subprogram) | |
11313 | (f90-end-of-subprogram): Only give a message when interactive. | |
11314 | ||
11315 | * term/mac-win.el (x-toolkit-scroll-bars): Check bound. | |
11316 | ||
11317 | * progmodes/cperl-mode.el (cperl-info-on-command): | |
11318 | Use display-pixel-height rather than x-display-pixel-height. | |
11319 | ||
11320 | * mail/rmailedit.el (rmail-cease-edit): | |
11321 | * vc-dispatcher.el (vc-dir-mode): Check tool-bar-mode is bound. | |
11322 | ||
11323 | * emulation/edt.el (edt-xserver): | |
11324 | * emulation/edt-mapper.el (edt-xserver): Use replace-regexp-in-string. | |
11325 | ||
11326 | * emulation/edt-mapper.el: Drop test for Emacs < 19. | |
11327 | ||
11328 | * mwheel.el (mouse-wheel-follow-mouse, mwheel-event-window): | |
11329 | Evaluate definitions when compiling. Reverse tests. | |
11330 | ||
11331 | * dframe.el (dframe-reposition-frame-emacs): Reorder test, and | |
11332 | use unless. | |
11333 | ||
11334 | * menu-bar.el (w32-menu-bar-open): Declare for compiler. | |
11335 | ||
11336 | * textmodes/artist.el (x-pointer-shape): | |
11337 | * term/x-win.el (x-parse-geometry, x-resource-name, accelerate-menu) | |
11338 | (x-open-connection, x-server-max-request-size, x-get-resource): | |
11339 | * term/w32console.el (x-setup-function-keys): | |
11340 | * term/w32-win.el (x-parse-geometry, x-resource-name) | |
11341 | (generate-fontset-menu, image-library-alist, x-open-connection) | |
11342 | (setup-default-fontset, set-fontset-font, setup-default-fontset) | |
11343 | (create-fontset-from-fontset-spec, create-fontset-from-x-resource) | |
11344 | (x-get-resource): | |
11345 | * term/mac-win.el (x-parse-geometry, x-resource-name) | |
11346 | (x-get-selection-internal, tool-bar-mode, set-fontset-font) | |
11347 | (new-fontset, x-display-list, x-open-connection, x-get-resource): | |
11348 | * progmodes/gud.el (tooltip-last-mouse-motion-event, tooltip-hide) | |
11349 | (tooltip-start-delayed-tip, tooltip-use-echo-area, tooltip-show) | |
11350 | (tooltip-strip-prompt, tooltip-expr-to-print, tooltip-event-buffer): | |
11351 | * progmodes/gdb-ui.el (tooltip-show, tooltip-use-echo-area) | |
11352 | (tooltip-identifier-from-point, define-fringe-bitmap): | |
11353 | * play/gamegrid.el (image-size): | |
11354 | * play/bubbles.el (image-size): | |
11355 | * mail/emacsbug.el (x-server-vendor, x-server-version): | |
11356 | * international/mule-util.el (internal-char-font): | |
11357 | * international/mule-diag.el (font-info, query-fontset, fontset-info) | |
11358 | (fontset-alias-alist, fontset-list, fontset-plain-name): | |
11359 | * international/mule-cmds.el (x-server-vendor, x-server-version): | |
11360 | * international/fontset.el (font-encoding-charset-alist) | |
11361 | (otf-script-alist, new-fontset, set-fontset-font) | |
11362 | (x-pixel-size-width-font-regexp, vertical-centering-font-regexp) | |
11363 | (fontset-list, query-fontset, x-get-resource): | |
11364 | * emulation/edt.el (x-server-vendor): | |
11365 | * emulation/edt-mapper.el (x-server-vendor): | |
11366 | * emacs-lisp/map-ynp.el (x-popup-dialog): | |
11367 | * emacs-lisp/lmenu.el (x-popup-dialog): | |
11368 | * x-dnd.el (x-window-property, x-change-window-property) | |
11369 | (x-get-selection-internal): | |
11370 | * woman.el (x-list-fonts): | |
11371 | * w32-fns.el (x-server-version): | |
11372 | * tooltip.el (x-show-tip, x-hide-tip): | |
11373 | * tool-bar.el (image-mask-p): | |
11374 | * thumbs.el (image-size): | |
11375 | * term.el (overflow-newline-into-fringe): | |
11376 | * subr.el (scroll-bar-scale): | |
11377 | * startup.el (x-get-resource, tool-bar-mode, image-size): | |
11378 | * select.el (x-get-selection-internal, x-own-selection-internal) | |
11379 | (x-disown-selection-internal): | |
11380 | * mouse.el (generate-fontset-menu): | |
11381 | * mouse-sel.el (x-select-text, x-cut-buffer-or-selection-value): | |
11382 | * image.el (image-library-alist): | |
11383 | * image-mode.el (image-size, image-refresh): | |
11384 | * image-dired.el (clear-image-cache): | |
11385 | * gs.el (x-display-mm-width, x-display-pixel-width) | |
11386 | (x-display-mm-height, x-display-pixel-height) | |
11387 | (x-change-window-property, x-display-grayscale-p, x-window-property): | |
11388 | * frame.el (tool-bar-mode, x-display-name, x-close-connection) | |
11389 | (x-focus-frame, x-list-fonts, x-display-screens) | |
11390 | (x-display-pixel-height, x-display-pixel-width, x-display-mm-height) | |
11391 | (x-display-mm-width, x-display-backing-store, x-display-save-under) | |
11392 | (x-display-planes, x-display-color-cells, x-display-visual-class): | |
11393 | * faces.el (internal-face-x-get-resource) | |
11394 | (internal-set-lisp-face-attribute-from-resource, x-bitmap-file-path) | |
11395 | (fontset-list, x-list-fonts, xw-color-defined-p, xw-color-values) | |
11396 | (xw-display-color-p, x-display-grayscale-p, x-get-resource) | |
11397 | (x-parse-geometry, x-create-frame, x-setup-function-keys) | |
11398 | (tool-bar-setup): | |
11399 | * doc-view.el (clear-image-cache, image-size, tooltip-show): | |
11400 | * dired.el (dnd-get-local-file-name, dnd-get-local-file-uri): | |
11401 | * dframe.el (x-display-pixel-width, x-display-pixel-height): | |
11402 | * descr-text.el (internal-char-font): | |
11403 | Define for compiler, for builds without X. | |
11404 | ||
11405 | 2008-06-11 Stefan Monnier <monnier@iro.umontreal.ca> | |
11406 | ||
11407 | * vc-rcs.el (vc-rcs-state-heuristic): Don't assume the file exists. | |
11408 | ||
11409 | 2008-06-11 Glenn Morris <rgm@gnu.org> | |
11410 | ||
11411 | * progmodes/fortran.el (fortran-end-of-subprogram): Check for a match | |
11412 | before trying to move there. | |
11413 | (fortran-calculate-indent): When fortran-check-all-num-for-matching-do | |
11414 | is non-nil, indent most terminating statements like loop body. | |
11415 | ||
11416 | * calendar/diary-lib.el (diary-list-entries-2): Accept optional | |
11417 | Gregorian date, and add it, not the local date, to diary-entries-list. | |
11418 | (diary-list-entries-1): Pass Gregorian date to diary-list-entries-2. | |
11419 | ||
11420 | 2008-06-11 Stefan Monnier <monnier@iro.umontreal.ca> | |
11421 | ||
11422 | * progmodes/compile.el (compilation-filter): Fix up last change. | |
11423 | ||
11424 | * term/linux.el (terminal-init-linux): Use gpm-mouse-enable. | |
11425 | ||
11426 | * t-mouse.el (gpm-mouse-enable, gpm-mouse-disable): New functions. | |
11427 | (gpm-mouse-mode): Make it into a proper global minor mode. | |
11428 | ||
11429 | * files.el (save-some-buffers-action-alist): Only use recursive-edit | |
11430 | if the user enabled recursive-minibuffers. | |
11431 | ||
11432 | * emacs-lisp/map-ynp.el (map-y-or-n-p): | |
11433 | Add support for scroll-other-window. | |
11434 | ||
11435 | 2008-06-11 Jason Rumney <jasonr@gnu.org> | |
11436 | ||
11437 | * term/w32-win.el (w32-menu-bar-open): Rename from menu-bar-open. | |
11438 | Use tmm-menubar if menu is disabled in this frame. | |
11439 | ||
11440 | * menu-bar.el (menu-bar-open): Determine how to open menu bar | |
11441 | from frame type, per documentation. Add w32 case. | |
11442 | ||
11443 | 2008-06-10 Stefan Monnier <monnier@iro.umontreal.ca> | |
11444 | ||
11445 | * minibuffer.el (completion--merge-suffix): New function. | |
11446 | (completion-basic-try-completion): Use it. | |
11447 | (completion-pcm--find-all-completions): Add argument `filter'. | |
11448 | (completion-pcm--filename-try-filter, completion-pcm--merge-try): | |
11449 | New functions. | |
11450 | (completion-pcm-try-completion): Use them. | |
11451 | ||
11452 | * xt-mouse.el (turn-on-xterm-mouse-tracking) | |
11453 | (turn-off-xterm-mouse-tracking): Use terminal-list. | |
11454 | ||
11455 | * cus-start.el (underline-minimum-offset): Rename from | |
11456 | x-underline-minimum-display-offset. | |
11457 | ||
11458 | 2008-06-10 David De La Harpe Golden <david@harpegolden.net> (tiny change) | |
11459 | ||
11460 | * cus-start.el (x-underline-minimum-display-offset): Give it a type. | |
11461 | ||
11462 | 2008-06-10 Chong Yidong <cyd@stupidchicken.com> | |
11463 | ||
11464 | * mouse.el (mouse-select-font): New function. | |
11465 | ||
11466 | * faces.el (face-spec-recalc): When the face is set using | |
11467 | Customize, avoid recalculating it twice. | |
11468 | ||
11469 | * menu-bar.el (menu-set-font): New function. Bind "Set Default | |
11470 | Font" menu item to it. Apply selected font to all frames, and | |
11471 | make it savable. | |
11472 | (menu-bar-options-save): Save `default' font if changed. | |
11473 | ||
11474 | 2008-06-10 Stefan Monnier <monnier@iro.umontreal.ca> | |
11475 | ||
11476 | * ffap.el (ffap-string-at-point-mode-alist): | |
11477 | Use alpha rather than lower. | |
11478 | ||
11479 | * dired.el (dired-insert-directory): Don't assume Unix-style dir names. | |
11480 | ||
11481 | * subr.el (momentary-string-display): Use an overlay. | |
11482 | ||
11483 | * progmodes/compile.el (compilation-mode): | |
11484 | Set window-point-insertion-type. | |
11485 | (compilation-filter): Don't use insert-before-markers any more. | |
11486 | * emacs-lisp/trace.el (trace-make-advice): | |
11487 | Set window-point-insertion-type in the trace buffer. | |
11488 | * startup.el (normal-top-level): Set window-point-insertion-type in | |
11489 | *Messages*. | |
11490 | * net/telnet.el (telnet-mode): Set window-point-insertion-type. | |
11491 | (telnet-filter): Don't use insert-before-markers any more. | |
11492 | * comint.el (comint-mode): Set window-point-insertion-type. | |
11493 | (comint-output-filter): Don't use insert-before-markers any more. | |
11494 | * button.el (make-text-button): Allow `start' to be a string. | |
11495 | ||
11496 | 2008-06-10 Juanma Barranquero <lekktu@gmail.com> | |
11497 | ||
11498 | * emacs-lisp/autoload.el (autoload-rubric): | |
11499 | Fix coding cookie not to force Unix EOL. | |
11500 | ||
11501 | 2008-06-10 Martin Rudalics <rudalics@gmx.at> | |
11502 | ||
11503 | * window.el (window--splittable-p, window--try-to-split-window): | |
11504 | Don't use with-selected-window to avoid messing up get-lru-window. | |
11505 | Reported by David Hansen <david.hansen@gmx.net>. | |
11506 | ||
11507 | 2008-06-10 Glenn Morris <rgm@gnu.org> | |
11508 | ||
11509 | * subr.el (locate-library): Doc fix. | |
11510 | ||
11511 | * net/newsticker-treeview.el (w3m-toggle-inline-images): Declare. | |
11512 | (newsticker-treeview-tool-bar-map, newsticker-treeview-mode): | |
11513 | Check tool-bar-map is bound, for non-X builds. | |
11514 | ||
11515 | * net/newsticker-reader.el (newsticker--next-item-image) | |
11516 | (newsticker--previous-item-image, newsticker--previous-feed-image) | |
11517 | (newsticker--next-feed-image, newsticker--mark-read-image) | |
11518 | (ewsticker--mark-immortal-image, newsticker--narrow-image) | |
11519 | (newsticker--get-all-image, newsticker--update-image) | |
11520 | (newsticker--browse-image): Check xpm images are available. | |
11521 | (newsticker--mark-read-image, newsticker--mark-immortal-image) | |
11522 | (newsticker--narrow-image, newsticker--get-all-image): Doc fix. | |
11523 | ||
11524 | * net/newsticker-plainview.el (tool-bar-map): Don't declare. | |
11525 | (newsticker--plainview-tool-bar-map, newsticker-mode): | |
11526 | Check tool-bar-map is bound, for non-X builds. | |
11527 | (w3m-toggle-inline-image): Declare. | |
11528 | ||
11529 | * net/newsticker-backend.el (tool-bar-map): Don't declare. | |
11530 | ||
11531 | * emacs-lisp/autoload.el (autoload-rubric): Add coding cookie. | |
11532 | ||
11533 | * finder.el (finder-font-lock-keywords): Handle ``quotes''. | |
11534 | (finder-compile-keywords): Move let to where needed. | |
11535 | (finder-mouse-face-on-line): Go back one more line if needed. | |
11536 | (finder-list-matches): Use cadr. | |
11537 | (finder-goto-xref): New function. | |
11538 | (finder-commentary): Add buttons to jump to foo.el libraries. | |
11539 | ||
11540 | 2008-06-10 Stefan Monnier <monnier@iro.umontreal.ca> | |
11541 | ||
11542 | * apropos.el (apropos-function, apropos-macro, apropos-command) | |
11543 | (apropos-variable, apropos-face, apropos-group, apropos-widget) | |
11544 | (apropos-plist): Add apropos-short-label property. | |
11545 | (apropos-multi-type): New variables. | |
11546 | (apropos-command, apropos-value): Set it. | |
11547 | (apropos-compact-layout): New custom. | |
11548 | (apropos-print, apropos-print-doc): Use it. | |
11549 | (apropos-print): Truncate lines. | |
11550 | ||
11551 | 2008-06-09 Kenichi Handa <handa@m17n.org> | |
11552 | ||
11553 | * international/fontset.el (font-encoding-alist): | |
11554 | Add an entry for "ascii-0". | |
11555 | ||
11556 | 2008-06-09 Jihyun Cho <jihyun.jo@gmail.com> | |
11557 | ||
11558 | * language/hanja-util.el (hanja-init-load): Show the message only | |
11559 | when loading a table. | |
11560 | ||
11561 | 2008-06-08 Ulf Jasper <ulf.jasper@web.de> | |
11562 | ||
11563 | * net/newsticker-treeview.el (newsticker-treeview): | |
11564 | * net/newsticker-plainview.el (newsticker-plainview): | |
11565 | Add autoload cookie. | |
11566 | ||
11567 | 2008-06-08 Ulf Jasper <ulf.jasper@web.de> | |
11568 | ||
11569 | * net/newsticker.el: Split up into newsticker-backend, -ticker, | |
11570 | -reader, -plainview, and -treeview. | |
11571 | (newsticker-version): Change to 1.99. | |
11572 | ||
11573 | * net/newsticker-backend.el: New. Move backend functionality from | |
11574 | newsticker.el to newsticker-backend.el. | |
11575 | (newsticker--download-logos): New. | |
11576 | (newsticker--sentinel-callback): New. | |
11577 | (newsticker--set-customvar): Remove. Split up into specific | |
11578 | functions related to retrieval, ticker, buffer, and display. | |
11579 | (newsticker--set-customvar-retrieval): New. Extracted from | |
11580 | `newsticker--set-customvar'. | |
11581 | (newsticker-retrieval): New. Renamed from `newsticker-feed'. | |
11582 | (newsticker-url-list-defaults): | |
11583 | Use `newsticker--set-customvar-retrieval' for :set. Change :group. | |
11584 | (newsticker-url-list): Doc changed. URL can be a function. | |
11585 | Use `newsticker--set-customvar-retrieval' for :set. Change :group. | |
11586 | (newsticker-retrieval-method): New. | |
11587 | (newsticker-wget-name, newsticker-wget-arguments): Change :group. | |
11588 | (newsticker-retrieval-interval): | |
11589 | Use `newsticker--set-customvar-retrieval' for :set. Change :group. | |
11590 | (newsticker-desc-comp-max): Change :group. | |
11591 | (newsticker--cache): Doc changed. | |
11592 | (newsticker--guid-to-string): New. | |
11593 | (newsticker--guid): Use `newsticker--guid-to-string'. | |
11594 | (newsticker--real-feed-name): New. | |
11595 | (newsticker--start-feed): New. Extracted from `newsticker-start'. | |
11596 | (newsticker-start): Use `insert-file-contents' for reading cache. | |
11597 | Use `newsticker--start-feed'. | |
11598 | (newsticker--stop-feed): New. Extracted from `newsticker-stop'. | |
11599 | (newsticker-stop): Check whether `newsticker-stop-ticker' is fbound. | |
11600 | Use `newsticker--stop-feed'. | |
11601 | (newsticker-save-item): New. | |
11602 | (newsticker--get-news-by-funcall): New. | |
11603 | (newsticker-get-news): Handle new retrieval methods. | |
11604 | (newsticker--sentinel): Use `newsticker--sentinel-work'. | |
11605 | (newsticker--sentinel-work): New. Extracted from | |
11606 | `newsticker--sentinel'. Use `newsticker--download-logos', | |
11607 | `newsticker--sentinel-callback'. | |
11608 | (newsticker--parse-atom-0.3): Use `newsticker--guid-to-string'. | |
11609 | (newsticker--parse-atom-1.0): Fix link determination. | |
11610 | (newsticker--parse-rss-0.91): Fix time determination. | |
11611 | (newsticker--parse-rss-0.92): Fix time determination. | |
11612 | (newsticker--parse-rss-2.0): Use `newsticker--guid-to-string'. | |
11613 | (newsticker--parse-generic-feed): New arg order in | |
11614 | `newsticker--cache-add'. | |
11615 | (newsticker--parse-generic-items): Fix for multiple items. | |
11616 | New arg order in `newsticker--cache-add'. | |
11617 | (newsticker--forget-preformatted): Check whether | |
11618 | `newsticker--buffer-set-uptodate' is fbound. | |
11619 | (newsticker--decode-iso8601-date): Handle fractions of seconds. | |
11620 | (newsticker--decode-rfc822-date): Partial timezone handling. | |
11621 | (newsticker--cache-contains): Fix guid problem. | |
11622 | (newsticker--cache-add): Swap args AGE and TIME. Fix guid problem. | |
11623 | (newsticker--cache-save): New. | |
11624 | (newsticker--cache-update): Use temp buffer. | |
11625 | (newsticker--stat-num-items): Allow multiple AGE args. | |
11626 | (newsticker--stat-num-items-total): New. | |
11627 | (newsticker--opml-import-outlines): New. | |
11628 | (newsticker-opml-import): Use `newsticker--opml-import-outlines'. | |
11629 | (newsticker--do-run-auto-mark-filter): Doc changed. | |
11630 | (newsticker-retrieve-random-message): New. | |
11631 | ||
11632 | * net/newsticker-ticker.el: New. Move ticker functionality from | |
11633 | net/newsticker.el to net/newsticker-ticker.el. | |
11634 | (newsticker--ticker-timer): Rename `newsticker--display-timer' to | |
11635 | `newsticker--ticker-timer'. | |
11636 | (newsticker-ticker-running-p): Rename `newsticker--display-timer' | |
11637 | to `newsticker--ticker-timer'. | |
11638 | (newsticker--set-customvar-ticker): New. Extracted from | |
11639 | `newsticker--set-customvar'. | |
11640 | (newsticker-ticker-interval): Rename `newsticker-display-interval' | |
11641 | to `newsticker-ticker-interval'. Use `newsticker--set-customvar-ticker | |
11642 | for :set. Change :group. | |
11643 | (newsticker-scroll-smoothly): Doc changed. Change :group. | |
11644 | (newsticker-hide-immortal-items-in-echo-area) | |
11645 | (newsticker-hide-old-items-in-echo-area) | |
11646 | (newsticker-hide-obsolete-items-in-echo-area): | |
11647 | Use `newsticker--set-customvar-ticker for :set. Change :group. | |
11648 | (newsticker-start-ticker): Rename `newsticker--display-timer' to | |
11649 | `newsticker--ticker-timer'. Rename `newsticker-display-interval' | |
11650 | to `newsticker-ticker-interval'. | |
11651 | (newsticker-stop-ticker): Rename `newsticker--display-timer' to | |
11652 | `newsticker--ticker-timer'. | |
11653 | ||
11654 | * net/newsticker-reader.el: New. Move reader functionality from | |
11655 | net/newsticker.el to net/newsticker-reader.el. | |
11656 | (newsticker--set-customvar-formatting): New. Extracted from | |
11657 | `newsticker--set-customvar'. | |
11658 | (newsticker-reader, newsticker-frontend): New. | |
11659 | (newsticker-enable-logo-manipulations): Change :group. | |
11660 | (newsticker-justification): Use `newsticker--set-customvar-formatting' | |
11661 | for :set. Change :group. | |
11662 | (newsticker-use-full-width): Use `newsticker--set-customvar-formatting' | |
11663 | for :set. Change :group. | |
11664 | (newsticker-html-renderer): Doc changed. | |
11665 | Use `newsticker--set-customvar-formatting' for :set. Change :group. | |
11666 | (newsticker-date-format): | |
11667 | Use `newsticker--set-customvar-formatting' for :set. Change :group. | |
11668 | (newsticker--insert-enclosure): | |
11669 | Rename `newsticker--buffer-insert-enclosure' to | |
11670 | `newsticker--insert-enclosure'. Add keymap arg. | |
11671 | (newsticker--print-extra-elements): | |
11672 | Rename `newsticker--buffer-print-extra-elements' to | |
11673 | `newsticker--print-extra-elements'. Add keymap arg. | |
11674 | (newsticker--do-print-extra-element): | |
11675 | Rename `newsticker--buffer-do-print-extra-element' to | |
11676 | `newsticker--do-print-extra-element'. Add keymap arg. | |
11677 | (newsticker-show-news): Use `newsticker-frontend'. | |
11678 | ||
11679 | * net/newsticker-plainview.el: New. Move plainview functionality | |
11680 | from net/newsticker.el to net/newsticker-reader.el. | |
11681 | (newsticker-plainview): New. | |
11682 | (newsticker--set-customvar-sorting): New. Extracted from | |
11683 | `newsticker--set-customvar'. | |
11684 | (newsticker-sort-method): Use `newsticker--set-customvar-sorting | |
11685 | for :set. Changed :group. | |
11686 | (newsticker-heading-format, newsticker-item-format) | |
11687 | (newsticker-desc-format, newsticker-statistics-format): | |
11688 | Use `newsticker--set-customvar-formatting for :set. Change :group. | |
11689 | (newsticker-faces): Change :group. | |
11690 | (newsticker-default-face): Enable again. | |
11691 | (newsticker-hide-old-items-in-newsticker-buffer) | |
11692 | (newsticker-show-descriptions-of-new-items): | |
11693 | Use `newsticker--set-customvar-buffer' for :set. Change :group. | |
11694 | (newsticker-show-all-news-elements): Change :group. | |
11695 | (newsticker-plainview-hooks): New. | |
11696 | (newsticker-select-item-hook, newsticker-select-feed-hook) | |
11697 | (newsticker-buffer-change-hook, newsticker-narrow-hook): Change :group. | |
11698 | (newsticker--plainview-tool-bar-map): Rename `newsticker--tool-bar-map' | |
11699 | to `newsticker--plainview-tool-bar-map'. | |
11700 | (newsticker--url-keymap): Add mouse-1 binding. | |
11701 | (newsticker-plainview): New. | |
11702 | (newsticker-mark-all-items-of-feed-as-read): Change doc. | |
11703 | (newsticker--buffer-do-insert-text): Use renamed | |
11704 | newsticker--[buffer-]insert-enclosure and | |
11705 | newsticker--[buffer-]print-extra-elements. | |
11706 | (newsticker--buffer-set-faces): Use newsticker-default-face. | |
11707 | ||
11708 | * net/newsticker-treeview.el: New. | |
11709 | ||
11710 | 2008-06-08 Andreas Schwab <schwab@suse.de> | |
11711 | ||
11712 | * vc-dispatcher.el (vc-dir-children-marked-p): Fix child check. | |
11713 | ||
11714 | * vc-cvs.el (vc-cvs-dir-status): Pass -f to cvs. | |
11715 | ||
11716 | * vc-dispatcher.el (vc-dir-move-to-goal-column): Don't move in an | |
11717 | empty line. | |
11718 | ||
11719 | * minibuffer.el (minibuffer-message): Bind inhibit-quit around sit-for. | |
11720 | ||
11721 | 2008-06-08 Martin Rudalics <rudalics@gmx.at> | |
11722 | ||
11723 | * window.el (split-height-threshold, split-width-threshold): | |
11724 | Add choice nil. | |
11725 | (split-window-preferred-function): Allow either nil or a function. | |
11726 | (window--splittable-p, window--try-to-split-window): | |
11727 | Handle changed option values. | |
11728 | ||
11729 | (window--frame-usable-p): Handle nil argument. | |
11730 | ||
11731 | (display-buffer): Call get-lru-window when pop-up-windows is nil | |
11732 | and window can't be split. | |
11733 | ||
11734 | 2008-06-08 Michael Albinus <michael.albinus@gmx.de> | |
11735 | ||
11736 | * uniquify.el (uniquify-get-proposed-name): Handle remote files. | |
11737 | ||
11738 | * net/tramp.el (top): Quote feature names. Remove | |
11739 | `tramp-rfn-eshadow-setup-minibuffer' from | |
11740 | `rfn-eshadow-setup-minibuffer-hook' when unloading. | |
11741 | (tramp-read-passwd): There is only one call to | |
11742 | `auth-source-user-or-password' needed. Pacify byte compiler. | |
11743 | ||
11744 | 2008-06-08 Andreas Schwab <schwab@suse.de> | |
11745 | ||
11746 | * window.el (display-buffer): Use lru window if current window | |
11747 | cannot be split. | |
11748 | ||
11749 | 2008-06-08 Stefan Monnier <monnier@iro.umontreal.ca> | |
11750 | ||
11751 | * apropos.el (apropos-library): New command and new button. | |
11752 | (apropos-library-button): New function. | |
11753 | ||
11754 | * apropos.el: Remove spurious * in docstrings. | |
11755 | (apropos-label-face): Use variable pitch. | |
11756 | (apropos-print): Use dolist and with-current-buffer. | |
11757 | (apropos-print-doc): Use when. | |
11758 | ||
11759 | * window.el (special-display-p, display-buffer): | |
11760 | Fix up C->Elisp transcription error. | |
11761 | ||
11762 | 2008-06-08 Stefan Monnier <monnier@iro.umontreal.ca> | |
11763 | ||
11764 | * emacs-lisp/bytecomp.el (byte-compile-current-group): New var. | |
11765 | (byte-compile-file): Initialize it. | |
11766 | (byte-compile-nogroup-warn): Keep track of the current group. | |
11767 | ||
11768 | 2008-06-08 Glenn Morris <rgm@gnu.org> | |
11769 | ||
11770 | * Makefile.in (compile, compile-always, bootstrap-prepare): | |
11771 | Explicitly pass EMACS to sub-makes that use it, for non-GNU makes. | |
11772 | ||
11773 | 2008-06-07 Jihyun Cho <jihyun.jo@gmail.com> | |
11774 | ||
11775 | * language/hanja-util.el (hanja-init-load): Use a char-table for | |
11776 | hanja-table. | |
11777 | (hangul-to-hanja-char): Adjust for the above change. | |
11778 | ||
11779 | 2008-06-07 Glenn Morris <rgm@gnu.org> | |
11780 | ||
11781 | * finder.el (finder-compile-keywords): Use lm-keywords-list rather than | |
11782 | lm-keywords. | |
11783 | ||
11784 | * mail/sendmail.el (mail-interactive): Add :version. | |
11785 | ||
11786 | * term/linux.el (terminal-init-linux): Use gpm-mouse-mode rather than | |
11787 | obsolete alias. | |
11788 | ||
11789 | * ediff-merg.el, strokes.el, wid-edit.el, emacs-lisp/lisp-mnt.el: | |
11790 | * emulation/edt-mapper.el, eshell/em-dirs.el, eshell/em-glob.el: | |
11791 | * eshell/em-ls.el, eshell/em-unix.el, eshell/esh-cmd.el: | |
11792 | * eshell/esh-io.el, eshell/esh-opt.el, eshell/esh-test.el: | |
11793 | * eshell/esh-util.el, international/mule-cmds.el: | |
11794 | * international/mule-diag.el, mail/smtpmail.el, net/netrc.el: | |
11795 | * net/tls.el, progmodes/etags.el, textmodes/page-ext.el: | |
11796 | Remove unnecessary eval-when-compiles and eval-and-compiles. | |
11797 | ||
11798 | * Makefile.in (bootstrap-clean): Run autogen-clean. | |
11799 | (maintainer-clean): No need to run autogen-clean now it is included in | |
11800 | bootstrap-clean. | |
11801 | ||
11802 | 2008-06-06 Miles Bader <miles@gnu.org> | |
11803 | ||
11804 | * Makefile.in (ELCFILES): Add gnus/nndir.elc. | |
11805 | ||
11806 | 2008-06-06 Chong Yidong <cyd@stupidchicken.com> | |
11807 | ||
11808 | * menu-bar.el (menu-bar-options-menu): Add Menu entry for | |
11809 | longlines mode. | |
11810 | ||
11811 | * replace.el (replace-search-function) | |
11812 | (replace-re-search-function): New vars. | |
11813 | (perform-replace): Use them. | |
11814 | ||
11815 | * longlines.el (longlines-re-search-forward): New function. | |
11816 | (longlines-mode): Bind replace-search-function and | |
11817 | replace-re-search-function, to ensure that replacement commands | |
11818 | treat newlines as spaces. | |
11819 | (longlines-show-effect): Default to a pilcrow sign. | |
11820 | ||
11821 | 2008-06-06 Stefan Monnier <monnier@iro.umontreal.ca> | |
11822 | ||
11823 | * help.el (function-called-at-point): | |
11824 | * help-fns.el (variable-at-point): Use emacs-lisp-mode-syntax-table | |
11825 | even when calling find-tag-default. | |
11826 | ||
11827 | 2008-06-06 Daniel Colascione <danc@merrillpress.com> | |
11828 | ||
11829 | * nxml/nxml-mode.el (nxml-syntax-highlight-flag) | |
11830 | (nxml-fontify-chunk-size, nxml-clear-face, nxml-set-fontified) | |
11831 | (nxml-clear-fontified, nxml-fontify, nxml-fontify1) | |
11832 | (nxml-fontify-buffer, nxml-do-fontify): | |
11833 | Remove obsolete variables and functions. | |
11834 | (nxml-font-lock-keywords, nxml-set-face, nxml-mode) | |
11835 | (nxml-degrade, nxml-after-change, nxml-after-change1) | |
11836 | (nxml-extend-region, nxml-extend-after-change-region) | |
11837 | (nxml-extend-after-change-region1, nxml-fontify-matcher) | |
11838 | (nxml-toggle-char-ref-extra-display): Use font-lock, and | |
11839 | font-lock-extend-region-functions in particular, to fontify | |
11840 | nxml-mode buffers. | |
11841 | (nxml-debug-region): New debugging helper function. | |
11842 | ||
11843 | * nxml/nxml-rap.el (nxml-clear-inside, nxml-set-inside) | |
11844 | (nxml-scan-after-change, nxml-move-tag-backwards): | |
11845 | Adapt for font-lock changes. | |
11846 | ||
11847 | * nxml/nxml-util.el (nxml-debug, nxml-debug-change) | |
11848 | (nxml-debug-set-inside, nxml-debug-clear-inside): | |
11849 | New debugging functions. | |
11850 | (nxml-with-degradation-on-error): New helper macro. | |
11851 | ||
11852 | 2008-06-06 Martin Rudalics <rudalics@gmx.at> | |
11853 | ||
11854 | * window.el (display-buffer): Remove dead call to get-lru-window. | |
11855 | ||
11856 | 2008-06-06 Stefan Monnier <monnier@iro.umontreal.ca> | |
11857 | ||
11858 | * mail/sendmail.el (mail-interactive): Change default. | |
11859 | ||
11860 | 2008-06-05 John Paul Wallington <jpw@pobox.com> | |
11861 | ||
11862 | * bindings.el (completion-ignored-extensions): | |
11863 | Add .p64fsl, .d64fsl, and .dx64fsl. | |
11864 | ||
11865 | 2008-06-05 Stefan Monnier <monnier@iro.umontreal.ca> | |
11866 | ||
11867 | * ffap.el (ffap-prompter): Don't use the region. | |
11868 | ||
11869 | 2008-06-05 Sam Steingold <sds@gnu.org> | |
11870 | ||
11871 | * vc-cvs.el (vc-cvs-registered): Consider a directory with a CVS | |
11872 | subdirectory to be registered. | |
11873 | ||
11874 | 2008-06-05 Martin Rudalics <rudalics@gmx.at> | |
11875 | ||
11876 | * window.el (display-buffer-function, special-display-p) | |
11877 | (special-display-buffer-names, special-display-regexps) | |
11878 | (special-display-function, same-window-p, same-window-buffer-names) | |
11879 | (same-window-regexps, pop-up-frames, display-buffer-reuse-frames) | |
11880 | (pop-up-frame-function, pop-up-windows, even-window-heights) | |
11881 | (split-window-preferred-function, split-height-threshold) | |
11882 | (window--display-buffer-1, display-buffer, pop-to-buffer): | |
11883 | Move from window.c and buffer.c. | |
11884 | (window--splittable-p, window--try-to-split-window) | |
11885 | (window--frame-usable-p, window--display-buffer-2) | |
11886 | (window--even-window-heights): New functions. | |
11887 | (split-width-threshold): New option. | |
11888 | (split-window-preferred-horizontally): Remove. | |
11889 | ||
11890 | * cus-start.el: Remove corresponding declarations. | |
11891 | ||
11892 | 2008-06-05 Sam Steingold <sds@gnu.org> | |
11893 | ||
11894 | * vc.el (vc-update): Use `save-some-buffers' instead of signaling | |
11895 | an error on modified buffers. | |
11896 | ||
11897 | 2008-06-05 Stefan Monnier <monnier@iro.umontreal.ca> | |
11898 | ||
11899 | * progmodes/etags.el (tags-verify-table): Be careful to use and update | |
11900 | tags-file-name and tags-table-list from the right buffer. | |
11901 | (tags-table-check-computed-list, tags-table-extend-computed-list) | |
11902 | (find-tag-noselect): Use with-current-buffer. | |
11903 | ||
11904 | * emacs-lisp/trace.el (trace-entry-message, trace-exit-message): | |
11905 | Use print-circle. | |
11906 | ||
11907 | * minibuffer.el (minibuffer-local-must-match-filename-map): | |
11908 | Declare obsolete alias for the old name. | |
11909 | ||
11910 | * abbrev.el (unexpand-abbrev): Better preserve markers. | |
11911 | ||
11912 | 2008-06-05 Glenn Morris <rgm@gnu.org> | |
11913 | ||
11914 | * emacs-lisp/autoload.el (autoload-rubric): New function, | |
11915 | extracted from autoload-ensure-default-file. | |
11916 | (autoload-ensure-default-file): Use autoload-rubric. | |
11917 | ||
11918 | * cus-dep.el (generated-custom-dependencies-file): Doc fix. | |
11919 | (custom-dependencies-no-scan-regexp): New variable. | |
11920 | (custom-make-dependencies): Use with-temp-buffer and autoload-rubric. | |
11921 | Don't scan files matching custom-dependencies-no-scan-regexp. | |
11922 | Disable undo in the output buffer. Remove kept-new-versions wackiness. | |
11923 | ||
11924 | * finder.el (finder-headmark): Initialize and add doc string. | |
11925 | (generated-finder-keywords-file): Doc fix. | |
11926 | (finder-no-scan-regexp): New variable. | |
11927 | (finder-compile-keywords): Use a single let binding. | |
11928 | Disable undo in the output buffer. Use autoload-rubric. | |
11929 | Use mapc rather than mapcar. Don't scan files matching | |
11930 | finder-no-scan-regexp. Use with-temp-buffer. Use expand-file-name | |
11931 | rather than concat. Use directory-files to do regexp matching. | |
11932 | No need to require jka-compr. | |
11933 | (finder-list-keywords): Remove un-needed set-buffer. Disable undo. | |
11934 | (finder-list-matches): Disable undo. | |
11935 | (finder-commentary): Use let rather than let*. Disable undo. | |
11936 | (finder-current-item): Use zerop. | |
11937 | (finder-mode): Use define-derived-mode. | |
11938 | (finder-exit): Doc fix. Use dolist. | |
11939 | ||
11940 | * Makefile.in ($(lisp)/cus-load.el): Remove unnecessary rule. | |
11941 | (custom-deps): Don't require $(lisp)/cus-load.el. | |
11942 | (custom-deps, finder-data): Don't depend on autoloads. | |
11943 | Should not be needed now, and doing so was causing make install to | |
11944 | re-dump emacs post-bootstrap. | |
11945 | (bootstrap-after): Don't run update-elclist, since modifying Makefile.in | |
11946 | mid-build forces some things to be rebuilt. | |
11947 | ||
11948 | 2008-06-05 Miles Bader <miles@gnu.org> | |
11949 | ||
11950 | * face-remap.el | |
11951 | (face-remap-add-relative): Renamed from `add-relative-face-remapping'. | |
11952 | (face-remap-remove-relative): Renamed from | |
11953 | `remove-relative-face-remapping'. | |
11954 | (face-remap-reset-base): Renamed from | |
11955 | `set-default-base-face-remapping'. | |
11956 | (face-remap-set-base): Renamed from `set-base-face-remapping'. | |
11957 | (text-scale-increase): Renamed from `increase-buffer-face-height'. | |
11958 | (text-scale-decrease): Renamed from `decrease-buffer-face-height'. | |
11959 | (text-scale-adjust): Renamed from `adjust-buffer-face-height'. | |
11960 | ||
11961 | * face-remap.el (variable-pitch-mode): Autoload. | |
11962 | ||
11963 | 2008-06-04 Sam Steingold <sds@gnu.org> | |
11964 | ||
11965 | * vc-hg.el (vc-hg-status-extra-header, vc-hg-status-extra-headers): | |
11966 | Generate extra status headers for a Mercurial tree. | |
11967 | ||
11968 | 2008-06-04 John Paul Wallington <jpw@pobox.com> | |
11969 | ||
11970 | * echistory.el (electric-history-map): Define within defvar. | |
11971 | Add docstring. | |
11972 | ||
11973 | * font-lock.el (font-lock-turn-off-thing-lock) | |
11974 | (font-lock-after-fontify-buffer, font-lock-after-unfontify-buffer): | |
11975 | Use `bound-and-true-p'. | |
11976 | (cpp-font-lock-keywords-source-directives, cpp-font-lock-keywords): | |
11977 | Doc fixes. | |
11978 | ||
11979 | * international/ccl.el (define-ccl-program): Add `doc-string' | |
11980 | declaration. | |
11981 | ||
11982 | 2008-06-04 Juanma Barranquero <lekktu@gmail.com> | |
11983 | ||
11984 | * face-remap.el (variable-pitch-mode): Reflow docstrings. | |
11985 | (text-scale-mode, adjust-buffer-face-height): Fix typos in docstrings. | |
11986 | ||
11987 | 2008-06-04 Trent W. Buck <trentbuck@gmail.com> (tiny change) | |
11988 | ||
11989 | * emacs-lisp/rx.el (rx): Doc fix. | |
11990 | ||
11991 | 2008-06-04 Markus Triska <markus.triska@gmx.at> | |
11992 | ||
11993 | * image-mode.el (image-mode-map): Add doc-view-inspired bindings. | |
11994 | ||
11995 | 2008-06-04 Miles Bader <miles@gnu.org> | |
11996 | ||
11997 | * face-remap.el (adjust-buffer-face-height): New function. | |
11998 | Add autoloaded keybindings in ctl-x-map. | |
11999 | (increase-buffer-face-height, decrease-buffer-face-height): | |
12000 | Simplify interactive spec to just "p". Remove autoloaded keybindings. | |
12001 | ||
12002 | 2008-06-03 Chong Yidong <cyd@stupidchicken.com> | |
12003 | ||
12004 | * simple.el (line-move-1): If we did not move as far as desired, | |
12005 | ensure that point-left and point-entered hooks are called. | |
12006 | ||
12007 | 2008-06-03 Sam Steingold <sds@gnu.org> | |
12008 | ||
12009 | * vc-cvs.el (vc-cvs-status-extra-headers): Remove extraneous newlines. | |
12010 | ||
12011 | 2008-06-03 John Paul Wallington <jpw@pobox.com> | |
12012 | ||
12013 | * progmodes/make-mode.el (makefile-cleanup-continuations) | |
12014 | (makefile-warn-suspicious-lines, makefile-warn-continuations): | |
12015 | Use `derived-mode-p'. | |
12016 | ||
12017 | 2008-06-03 Stefan Monnier <monnier@iro.umontreal.ca> | |
12018 | ||
12019 | * Makefile.in (update-elclist): Impose a fixed collation for sorting. | |
12020 | (ELCFILES): Update. | |
12021 | ||
12022 | 2008-06-03 Miles Bader <miles@gnu.org> | |
12023 | ||
12024 | * face-remap.el: New file. | |
12025 | * Makefile.in (ELCFILES): Add face-remap.elc. | |
12026 | ||
12027 | 2008-06-03 Stefan Monnier <monnier@iro.umontreal.ca> | |
12028 | ||
12029 | * progmodes/flymake.el (flymake-process-filter): Make sure the source | |
12030 | buffer isn't dead. | |
12031 | ||
12032 | * obsolete/bg-mouse.el, obsolete/float.el, obsolete/hilit19.el, | |
12033 | * obsolete/lselect.el, obsolete/mlsupport.el, obsolete/ooutline.el, | |
12034 | * obsolete/profile.el, obsolete/rsz-mini.el, obsolete/uncompress.el, | |
12035 | * obsolete/auto-show.el, obsolete/hscroll.el: | |
12036 | Remove packages that were obsolete in Emacs-20, or that were obsolete | |
12037 | in Emacs-21 and do not contain any more code. | |
12038 | ||
12039 | * vc-dispatcher.el (vc-dir-menu-map-filter): Don't fail if | |
12040 | vc-client-mode is not set. | |
12041 | ||
12042 | * image-mode.el (image-mode-map): Suppress key map and bind `q'. | |
12043 | * doc-view.el (doc-view-mode-map): Inherit from image-mode-map. | |
12044 | ||
12045 | * progmodes/perl-mode.el (perl-font-lock-syntactic-keywords): Try to be | |
12046 | yet a bit more clever at distinguishing / from /. | |
12047 | ||
12048 | 2008-06-03 Kenichi Handa <handa@m17n.org> | |
12049 | ||
12050 | * Makefile.in (ELCFILES): Add $(lisp)/language/hanja-util.elc. | |
12051 | ||
12052 | 2008-06-03 Jihyun Cho <jihyun.jo@gmail.com> | |
12053 | ||
12054 | * language/hanja-util.el: New file. | |
12055 | ||
12056 | 2008-06-03 Glenn Morris <rgm@gnu.org> | |
12057 | ||
12058 | * progmodes/f90.el (f90-typedef-matcher, f90-looking-at-type-like): | |
12059 | Check that end-of-word follows "type". | |
12060 | ||
12061 | 2008-06-02 Daiki Ueno <ueno@unixuser.org> | |
12062 | ||
12063 | * epa-file.el (epa-file-write-region): Write the entire buffer | |
12064 | content if START is nil. | |
12065 | ||
12066 | 2008-06-01 Thomas Morgan <tlm@thomasmorgan.net> (tiny change) | |
12067 | ||
12068 | * select.el (x-get-selection): Fix typo. | |
12069 | ||
12070 | 2008-06-01 Juanma Barranquero <lekktu@gmail.com> | |
12071 | ||
12072 | * descr-text.el (describe-text-sexp): Use `string-match-p'. Simplify. | |
12073 | (describe-char): Use `looking-at-p', `string-match-p' when possible. | |
12074 | ||
12075 | 2008-06-01 Stefan Monnier <monnier@iro.umontreal.ca> | |
12076 | ||
12077 | * tar-mode.el (tar-header-block-summarize): Ensure one space around | |
12078 | user&group. | |
12079 | ||
12080 | 2008-05-31 John Paul Wallington <jpw@pobox.com> | |
12081 | ||
12082 | * help-fns.el (describe-variable-custom-version-info): | |
12083 | Handle dotted `package-version' info. | |
12084 | ||
12085 | 2008-05-31 Juanma Barranquero <lekktu@gmail.com> | |
12086 | ||
12087 | * icomplete.el (icomplete-get-keys): Doc fix. Use `when'. | |
12088 | ||
12089 | 2008-05-31 Dan Nicolaescu <dann@ics.uci.edu> | |
12090 | ||
12091 | * vc-cvs.el (vc-cvs-after-dir-status): Support spaces in file | |
12092 | names and improve support for unregistered files. | |
12093 | ||
12094 | 2008-05-31 Glenn Morris <rgm@gnu.org> | |
12095 | ||
12096 | * Makefile.in (compile-last): Replace tr in `els' assignment with sed. | |
12097 | Remove shell variable `elc'. Split tests to hopefully be more portable. | |
12098 | Fix `sel' assignment. | |
12099 | ||
12100 | 2008-05-30 Juanma Barranquero <lekktu@gmail.com> | |
12101 | ||
12102 | * minibuffer.el (completion-table-dynamic): Doc fix. | |
12103 | ||
12104 | 2008-05-30 Stefan Monnier <monnier@iro.umontreal.ca> | |
12105 | ||
12106 | * vc-cvs.el (vc-cvs-state-heuristic, vc-cvs-parse-status): | |
12107 | Try and return `unregistered' when applicable. | |
12108 | ||
12109 | * emacs-lisp/checkdoc.el (checkdoc-this-string-valid-engine): | |
12110 | Don't encourage naming variables with "-flag". | |
12111 | ||
12112 | 2008-05-30 Glenn Morris <rgm@gnu.org> | |
12113 | ||
12114 | * Makefile.in (update-elclist): Hide the warning when it does not apply, | |
12115 | make it milder when it does. | |
12116 | (autogen-clean): New target. | |
12117 | (maintainer-clean): Use autogen-clean. | |
12118 | ||
12119 | * calendar/diary-lib.el (diary-display-function): New name for | |
12120 | diary-display-hook. Keep old name as obsolete alias. Doc fix. | |
12121 | Change custom type and version. | |
12122 | (diary-list-entries-hook, diary-list-entries, diary-simple-display) | |
12123 | (diary-fancy-display): Doc fixes. | |
12124 | (diary-list-entries, diary-include-other-diary-files) | |
12125 | (diary-mail-entries): | |
12126 | Use diary-display-function rather than diary-display-hook. | |
12127 | ||
12128 | * calendar/appt.el (appt-check): Use diary-display-function rather than | |
12129 | diary-display-hook. | |
12130 | * calendar/cal-x.el (calendar-dedicate-diary): Update for | |
12131 | diary-display-function replacing diary-display-hook. | |
12132 | * org/org-agenda.el (org-get-entries-from-diary): Also set | |
12133 | diary-display-function. | |
12134 | ||
12135 | * calendar/cal-html.el (cal-html-list-diary-entries): | |
12136 | * calendar/cal-menu.el (calendar-mouse-view-diary-entries): | |
12137 | * calendar/cal-tex.el (cal-tex-list-diary-entries): Use LIST-ONLY | |
12138 | argument of diary-list-entries rather than setting diary-display-hook. | |
12139 | ||
12140 | 2008-05-30 Kenichi Handa <handa@m17n.org> | |
12141 | ||
12142 | * international/mule-conf.el (utf-8-with-signature): Renamed from | |
12143 | utf-8-sig. | |
12144 | (utf-8-auto): Adjusted for the above change. | |
12145 | ||
12146 | * international/mule-diag.el (describe-font): Don't check fontset | |
12147 | here, and just call font-info. Get the default font by from the | |
12148 | default face. | |
12149 | ||
12150 | 2008-05-29 Kenichi Handa <handa@m17n.org> | |
12151 | ||
12152 | * international/mule-conf.el (utf-8-sig, utf-8-auto): | |
12153 | New coding systems. | |
12154 | ||
12155 | * international/mule.el (define-coding-system): Accept :bom for utf-8. | |
12156 | ||
12157 | 2008-05-29 Espen Wiborg <espen.wiborg@telio.no> (tiny change) | |
12158 | ||
12159 | * international/utf-7.el (utf-7-encode): Use the right escape char | |
12160 | depending on imap/nonimap encoding. | |
12161 | ||
12162 | 2008-05-29 Stefan Monnier <monnier@iro.umontreal.ca> | |
12163 | ||
12164 | * minibuffer.el (completion-pcm-all-completions): Add the base-size. | |
12165 | ||
12166 | 2008-05-29 Juanma Barranquero <lekktu@gmail.com> | |
12167 | ||
12168 | * icomplete.el (icomplete-prospects-height): Add :group. | |
12169 | ||
12170 | 2008-05-29 Stefan Monnier <monnier@iro.umontreal.ca> | |
12171 | ||
12172 | * icomplete.el (icomplete-prospects-length): Make obsolete. | |
12173 | (icomplete-prospects-height): New var. | |
12174 | (icomplete-completions): Use it. | |
12175 | ||
12176 | 2008-05-29 David Kastrup <dak@gnu.org> | |
12177 | ||
12178 | * autoinsert.el (auto-insert): Add :link. | |
12179 | ||
12180 | 2008-05-29 Stefan Monnier <monnier@iro.umontreal.ca> | |
12181 | ||
12182 | * tar-mode.el (tar-header): New field `header-start'. | |
12183 | (tar-header-block-tokenize): Set it when useful. | |
12184 | Drop "GNUtar " magic value, which even GNU Tar doesn't know about. | |
12185 | (tar-header-data-end): New function. | |
12186 | (tar-summarize-buffer): Use it. | |
12187 | (tar-next-line): Fix goal column for long usernames. | |
12188 | (tar-expunge-internal): Use header-start. | |
12189 | (tar-rename-entry): Handle ustar-style long names. | |
12190 | (tar-alter-one-field): Add optional `descriptor' argument. | |
12191 | (tar-subfile-save-buffer): Use it. | |
12192 | ||
12193 | 2008-05-28 Stefan Monnier <monnier@iro.umontreal.ca> | |
12194 | ||
12195 | * tar-mode.el (tar-header): New field `header-start'. | |
12196 | (tar-header-block-tokenize): Set header-start for longlink entries. | |
12197 | (tar-expunge-internal): Use header-start to expunge longlink entries. | |
12198 | ||
12199 | * files.el (hack-local-variables): Don't signal an error if the local | |
12200 | variable section is not properly terminated. | |
12201 | ||
12202 | * emacs-lisp/easymenu.el (easy-menu-convert-item) | |
12203 | (easy-menu-convert-item-1): Move the duplicate-generation outside of | |
12204 | the caching so it also works for identical entries. | |
12205 | ||
12206 | * tar-mode.el (tar-summarize-buffer): Fix reporter initialization. | |
12207 | (tar-mode): Use write-region-annotate-functions rather than | |
12208 | write-contents-functions. | |
12209 | (tar-extract): Remove unused var `pos'. | |
12210 | (tar-subfile-save-buffer): Remove unused var `following-descs'. | |
12211 | (tar-mode-write-file): Remove. | |
12212 | (tar-write-region-annotate): New function. | |
12213 | ||
12214 | * progmodes/flymake.el (flymake-save-buffer-in-file): | |
12215 | * shadowfile.el (shadow-copy-file): | |
12216 | * arc-mode.el (archive-*-write-file-member): | |
12217 | * files.el (diff-buffer-with-file): | |
12218 | * subr.el (with-temp-file): Pass nil to write-region. | |
12219 | * jka-compr.el (jka-compr-write-region): Preserve `start's nullness. | |
12220 | ||
12221 | * doc-view.el (doc-view-mode-map): Bind `q' to quit-window, as is | |
12222 | the custom. | |
12223 | ||
12224 | * files.el (basic-save-buffer-2): Pass nil rather than (point-min) | |
12225 | to write-region. | |
12226 | ||
12227 | 2008-05-28 Glenn Morris <rgm@gnu.org> | |
12228 | ||
12229 | * Makefile.in (update-elclist): Work around non-portability of "\" | |
12230 | in various implementations of echo. | |
12231 | ||
12232 | 2008-05-27 Stefan Monnier <monnier@iro.umontreal.ca> | |
12233 | ||
12234 | * international/mule-diag.el (describe-current-coding-system): | |
12235 | Don't assume selection-coding-system is always defined. | |
12236 | ||
12237 | * tar-mode.el: Use defstruct and markers. | |
12238 | (tar-setf): Remove. | |
12239 | (tar-header): Use defstruct. Add `data-start' field. | |
12240 | (make-tar-desc, tar-desc-tokens): Remove, folded into tar-header. | |
12241 | (tar-desc-data-start): Remove (now called tar-header-data-start). | |
12242 | (tar-roundup-512): New fun. | |
12243 | (tar-header-block-tokenize): Receive a buffer position rather than | |
12244 | a string. Handle @longLink here, be more careful about it. | |
12245 | Create a marker for data-start. | |
12246 | (tar-summarize-buffer): Don't handle @LongLink here any more. | |
12247 | (tar-expunge-internal, tar-subfile-save-buffer): Don't update | |
12248 | data-start on the following entries any more. | |
12249 | (tar-chown-entry, tar-chgrp-entry): Use read-number. | |
12250 | ||
12251 | * tar-mode.el: Use buffer-swap-text to separate summary and raw data. | |
12252 | (tar-header-offset): Remove. | |
12253 | (tar-parse-info, tar-header-offset, tar-file-name-coding-system): | |
12254 | Not permanent any more. | |
12255 | (tar-data-buffer): New var. | |
12256 | (tar-data-swapped-p, tar-change-major-mode-hook) | |
12257 | (tar-mode-kill-buffer-hook): New funs. | |
12258 | (tar-untar-buffer, tar-summarize-buffer, tar-mode, tar-mode-revert) | |
12259 | (tar-extract, tar-copy, tar-expunge-internal, tar-expunge) | |
12260 | (tar-clear-modification-flags, tar-alter-one-field) | |
12261 | (tar-subfile-save-buffer, tar-pad-to-blocksize, tar-mode-write-file): | |
12262 | Change accordingly. | |
12263 | ||
12264 | 2008-05-27 Dan Nicolaescu <dann@ics.uci.edu> | |
12265 | ||
12266 | * vc-dispatcher.el (vc-directory-resynch-file): Rename to ... | |
12267 | (vc-dir-resynch-file): ... this. Update callers. | |
12268 | Use vc-string-prefix-p. Ignore directory args. | |
12269 | (vc-string-prefix-p): CSE. | |
12270 | (vc-resynch-buffer): Restore conditional. | |
12271 | ||
12272 | * vc-hooks.el (vc-after-save): Improve test. | |
12273 | (vc-mode-line): Fix indentation. | |
12274 | ||
12275 | 2008-05-27 Chong Yidong <cyd@stupidchicken.com> | |
12276 | ||
12277 | * calendar/parse-time.el (parse-time-months) | |
12278 | (parse-time-weekdays): Add long-form month and day names. | |
12279 | ||
12280 | 2008-05-27 Glenn Morris <rgm@gnu.org> | |
12281 | ||
12282 | * Makefile.in (update-elclist): Make errors in final sed non-fatal. | |
12283 | ||
12284 | 2008-05-27 Stefan Monnier <monnier@iro.umontreal.ca> | |
12285 | ||
12286 | * vc-dispatcher.el (vc-string-prefix-p): New function. | |
12287 | (vc-dir-parent-marked-p): Use it. | |
12288 | ||
12289 | 2008-05-27 Dan Nicolaescu <dann@ics.uci.edu> | |
12290 | ||
12291 | * vc.el (Problems): Remove fixed issues. | |
12292 | (vc-expand-dirs): Avoid returning directories. | |
12293 | (vc-dir): Fix C-x v v binding. | |
12294 | (vc-make-backend-object): Fix name. | |
12295 | (vc-dir-show-fileentry): Fix docstring. | |
12296 | (vc-dir-refresh): Use vc-dir-backend. Fix docstring. | |
12297 | (vc-dir-refresh-files): Use vc-dir-backend. Fix docstring. | |
12298 | Reset the state for directories. | |
12299 | (vc-dir-headers): Align labels. | |
12300 | (vc-default-status-printer): Do no call prettify-state-info. | |
12301 | (vc-deduce-fileset): Replace implementation with one based on a | |
12302 | working older version. | |
12303 | (vc-next-action): Use the new form of vc-deduce-fileset. | |
12304 | Fix dealing with unregistered files. | |
12305 | ||
12306 | * vc-dispatcher.el (vc-resynch-window): Fix mode-line updating. | |
12307 | (vc-dir-menu-map): Fix menu title for the menu bar and the popup menu. | |
12308 | (vc-dir-child-files): New function. | |
12309 | (vc-dir-node-directory): New function. | |
12310 | (vc-dir-update, vc-dir-parent-marked-p) | |
12311 | (vc-dir-children-marked-p, vc-dir-mark-all-files) | |
12312 | (vc-dir-marked-only-files, vc-dispatcher-selection-set): Use it. | |
12313 | ||
12314 | * vc-cvs.el (vc-cvs-status-extra-headers): Align labels. | |
12315 | ||
12316 | 2008-05-26 Stefan Monnier <monnier@iro.umontreal.ca> | |
12317 | ||
12318 | * diff-mode.el (diff-context-mid-hunk-header-re): New const. | |
12319 | (diff-font-lock-keywords, diff-context->unified) | |
12320 | (diff-reverse-direction, diff-fixup-modifs, diff-sanity-check-hunk) | |
12321 | (diff-hunk-text, diff-find-source-location): Use it. | |
12322 | (diff-post-command-hook): Let the user edit the hunk headers. | |
12323 | ||
12324 | 2008-05-26 Andreas Schwab <schwab@suse.de> | |
12325 | ||
12326 | * vc.el (vc-default-prettify-state-info): Fix formatting of an | |
12327 | unknown state. | |
12328 | ||
12329 | * tar-mode.el (tar-summarize-buffer): Comment fix. | |
12330 | ||
12331 | 2008-05-26 Stefan Monnier <monnier@iro.umontreal.ca> | |
12332 | ||
12333 | * tar-mode.el (tar-summarize-buffer): Handle GNU Tar @LongLink format. | |
12334 | ||
12335 | 2008-05-26 Glenn Morris <rgm@gnu.org> | |
12336 | ||
12337 | * calendar/cal-hebrew.el (diary-ordinal-suffix): Declare for compiler. | |
12338 | ||
12339 | 2008-05-25 John Paul Wallington <jpw@pobox.com> | |
12340 | ||
12341 | * proced.el (proced-next-line, proced-previous-line): | |
12342 | Avoid calling `next-line' and `previous-line' from Lisp code. | |
12343 | ||
12344 | 2008-05-25 Ed Reingold <reingold@emr.cs.iit.edu> | |
12345 | ||
12346 | * calendar/cal-hebrew.el (diary-hebrew-yahrzeit): | |
12347 | Use diary-ordinal-suffix. | |
12348 | ||
12349 | 2008-05-24 Juanma Barranquero <lekktu@gmail.com> | |
12350 | ||
12351 | * emacs-lisp/edebug.el (edebug-setup-hook, edebug-all-defs) | |
12352 | (edebug-all-forms, edebug-eval-macro-args, edebug-save-windows) | |
12353 | (edebug-save-displayed-buffer-points, edebug-initial-mode) | |
12354 | (edebug-trace, edebug-test-coverage, edebug-continue-kbd-macro) | |
12355 | (edebug-print-length, edebug-print-level, edebug-print-circle) | |
12356 | (edebug-unwrap-results, edebug-on-error, edebug-on-quit) | |
12357 | (edebug-global-break-condition, edebug-sit-for-seconds): | |
12358 | Remove spurious * from defcustom docstrings. | |
12359 | (edebug-unwrap*, edebug-signal, edebug-eval-display): | |
12360 | Improve argument/docstring consistency. | |
12361 | (edebug-test-coverage, edebug-gensym, edebug-read) | |
12362 | (edebug-top-level-nonstop, edebug-eval-result-list) | |
12363 | (edebug-eval-redisplay, edebug-trace): Fix typos in docstring. | |
12364 | (edebug-eval-defun, edebug-eval-top-level-form, edebug) | |
12365 | (edebug-display-freq-count): Reflow docstrings. | |
12366 | (edebug-restore-status): Doc fix. | |
12367 | ||
12368 | 2008-05-24 Stefan Monnier <monnier@iro.umontreal.ca> | |
12369 | ||
12370 | * proced.el (proced-header-line): Use the :align-to 0 feature | |
12371 | rather than computing the corresponding position manually. | |
12372 | (proced-update): Don't hardcode point-min==1. | |
12373 | ||
12374 | 2008-05-24 Alan Mackenzie <acm@muc.de> | |
12375 | ||
12376 | * progmodes/cc-mode.el (c-postprocess-file-styles): Throw an error | |
12377 | if c-file-style is set to a non-string. | |
12378 | ||
12379 | (c-neutralize-CPP-line): Surround by `save-excursion'. | |
12380 | (c-neutralize-syntax-in-CPP): Optimize for speed. | |
12381 | ||
12382 | 2008-05-24 Glenn Morris <rgm@gnu.org> | |
12383 | ||
12384 | * Makefile.in (update-elclist): New target, to update ELCFILES. | |
12385 | (bootstrap-after): Run update-elclist. | |
12386 | ||
12387 | 2008-05-24 Ulf Jasper <ulf.jasper@web.de> | |
12388 | ||
12389 | * icalendar.el (icalendar-version): Increase to "0.19". | |
12390 | (icalendar--date-style): New function. | |
12391 | (icalendar--datetime-to-diary-date): Doc fix. | |
12392 | Use icalendar--date-style. | |
12393 | (icalendar--datestring-to-isodate): Doc fix. Handle iso date style. | |
12394 | (icalendar--convert-yearly-to-ical) | |
12395 | (icalendar--convert-recurring-to-diary): Handle iso date style, | |
12396 | use icalendar-date-style. | |
12397 | ||
12398 | 2008-05-23 Dan Nicolaescu <dann@ics.uci.edu> | |
12399 | ||
12400 | * vc.el (vc-delete-file): Make sure the buffer is deleted and | |
12401 | vc-dir buffers are updated. | |
12402 | ||
12403 | 2008-05-23 Stefan Monnier <monnier@iro.umontreal.ca> | |
12404 | ||
12405 | * vc.el (vc-delete-file): Don't try to resynch the buffer. | |
12406 | ||
12407 | 2008-05-23 Paul Rivier <paul.r.ml@gmail.com> | |
12408 | ||
12409 | * textmodes/reftex-vars.el (reftex-extra-bindings-prefix): New var. | |
12410 | * textmodes/reftex.el (reftex-extra-bindings-map): New var. | |
12411 | (reftex-extra-bindings): Use it. | |
12412 | ||
12413 | * progmodes/mixal-mode.el (mixal-mode-map): Move key-bindings | |
12414 | away from the user-reserved keys. | |
12415 | ||
12416 | * progmodes/ada-mode.el (ada-mode-extra-map, ada-mode-extra-prefix): | |
12417 | New vars. | |
12418 | (ada-create-keymap): Use them. | |
12419 | ||
12420 | 2008-05-23 Stefan Monnier <monnier@iro.umontreal.ca> | |
12421 | ||
12422 | * emacs-lisp/regexp-opt.el (regexp-opt): Always return | |
12423 | a properly-grouped regexp. | |
12424 | ||
12425 | 2008-05-23 Juanma Barranquero <lekktu@gmail.com> | |
12426 | ||
12427 | * progmodes/cap-words.el (capitalized-words-mode): | |
12428 | Fix typos in docstring. | |
12429 | ||
12430 | 2008-05-23 Kenichi Handa <handa@m17n.org> | |
12431 | ||
12432 | * international/mule-conf.el: Don't define the charset `emacs' | |
12433 | here, just put :docstring, :short-name, and :long-name. | |
12434 | ||
12435 | 2008-05-22 Kenichi Handa <handa@m17n.org> | |
12436 | ||
12437 | * international/mule-diag.el (font-show-log): Limit each listing | |
12438 | to 20 items. | |
12439 | ||
12440 | 2008-05-23 Nick Roberts <nickrob@snap.net.nz> | |
12441 | ||
12442 | * progmodes/gdb-ui.el (gdb-enable-debug): New function. | |
12443 | (gdb-annotation-rules): New entry for "thread-changed". | |
12444 | (gdb-thread-changed): New function. | |
12445 | ||
12446 | 2008-05-23 Glenn Morris <rgm@gnu.org> | |
12447 | ||
12448 | * Makefile.in (SOURCES): Remove, unused. | |
12449 | (lisptagsfiles1, lisptagsfiles2): Use '*' rather than '[a-zA-Z]*'. | |
12450 | (TAGS, TAGS-LISP): Use a single rule with multiple targets. | |
12451 | Exclude more '*loaddefs' files. | |
12452 | (compile-always): Simplify '.elc' deletion. | |
12453 | ||
12454 | 2008-05-23 Stefan Monnier <monnier@iro.umontreal.ca> | |
12455 | ||
12456 | * vc-bzr.el (vc-bzr-annotate-time): Reduce memory allocation. | |
12457 | (vc-bzr-revision-completion-table): Handle `boundaries' argument. | |
12458 | ||
12459 | * minibuffer.el (completion-boundaries): Change calling | |
12460 | convention, so `string' has the same semantics as in | |
12461 | try-completion and all-completions. | |
12462 | (completion-table-with-context, completion--embedded-envvar-table) | |
12463 | (completion--file-name-table) | |
12464 | (completion-pcm--find-all-completions): Adjust code accordingly. | |
12465 | ||
12466 | 2008-05-22 Chong Yidong <cyd@stupidchicken.com> | |
12467 | ||
12468 | * image-mode.el (image-mode-winprops): Add argument CLEANUP to | |
12469 | prune image-mode-winprops-alist, preventing it from growing | |
12470 | indefinitely. | |
12471 | (image-mode-reapply-winprops): Use it. | |
12472 | ||
12473 | 2008-05-22 Teodor Zlatanov <tzz@lifelogs.com> | |
12474 | ||
12475 | * net/netrc.el (netrc-machine): Always match if the port is not given. | |
12476 | ||
12477 | 2008-05-22 Stefan Monnier <monnier@iro.umontreal.ca> | |
12478 | ||
12479 | * minibuffer.el (completion-pcm--find-all-completions): | |
12480 | Don't add pseudo-completions. | |
12481 | ||
12482 | * icomplete.el (icomplete-eoinput): Remove. | |
12483 | (icomplete-overlay): New var to replace it. | |
12484 | (icomplete-tidy): Rewrite. | |
12485 | (icomplete-exhibit): Use an overlay. | |
12486 | (icomplete-completions): Use completion-all-sorted-completions. | |
12487 | Obey completion-ignore-case. | |
12488 | ||
12489 | * files.el (locate-dominating-file): Accept non-existing argument. | |
12490 | (project-find-settings-file): Rewrite, using locate-dominating-file. | |
12491 | ||
12492 | 2008-05-22 Kenichi Handa <handa@m17n.org> | |
12493 | ||
12494 | * faces.el (font-weight-table, font-slant-table, font-width-table): | |
12495 | Delete them. Don't call internal-set-font-style-table. | |
12496 | ||
12497 | * international/mule-diag.el (font-show-log): New function. | |
12498 | ||
12499 | * international/fontset.el (script-representative-chars): Add more | |
12500 | chars for latin. Add data for symbol. | |
12501 | (setup-default-fontset): Add entries for phonetic, armenian, and symbol. | |
12502 | ||
12503 | 2008-05-21 Stefan Monnier <monnier@iro.umontreal.ca> | |
12504 | ||
12505 | * minibuffer.el (completion-all-sorted-completions): New var. | |
12506 | (completion--flush-all-sorted-completions) | |
12507 | (completion-all-sorted-completions): New functions. | |
12508 | (minibuffer-force-complete): New command. | |
12509 | ||
12510 | 2008-05-21 Glenn Morris <rgm@gnu.org> | |
12511 | ||
12512 | * files.el (c-postprocess-file-styles): Declare for compiler. | |
12513 | ||
12514 | * Makefile.in: Allow for parallel byte-compiling. | |
12515 | (ELCFILES): New variable. | |
12516 | (.el.elc): Remove prerequisites from suffix rule. Print a message. | |
12517 | (compile-first, compile-main, compile-last): New targets. | |
12518 | (compile-always): Simplify - delete .elc files, then `make compile'. | |
12519 | ||
12520 | * Makefile.in (compile-calc): Use glob rather than find. | |
12521 | ($(lisp)/progmodes/cc-mode.elc): Use $@. | |
12522 | ||
12523 | * eshell/esh-module.el: No need for cl when compiling. | |
12524 | ||
12525 | * eshell/eshell.el (eshell-defgroup): New alias. | |
12526 | * eshell/em-alias.el, eshell/em-banner.el, eshell/em-basic.el: | |
12527 | * eshell/em-cmpl.el, eshell/em-dirs.el, eshell/em-glob.el: | |
12528 | * eshell/em-hist.el, eshell/em-ls.el, eshell/em-pred.el: | |
12529 | * eshell/em-prompt.el, eshell/em-rebind.el, eshell/em-script.el: | |
12530 | * eshell/em-smart.el, eshell/em-term.el, eshell/em-unix.el: | |
12531 | * eshell/em-xtra.el: Use eshell-defgroup rather than defgroup. | |
12532 | Autoload the custom group. Set generated-autoload-file. | |
12533 | * eshell/em-basic.el, eshell/esh-module.el: Require eshell, | |
12534 | for eshell-defgroup. | |
12535 | * eshell/esh-module.el (eshell-load-defgroups): Remove. | |
12536 | Require esh-groups rather than loading it. | |
12537 | ||
12538 | 2008-05-21 Roland Winkler <Roland.Winkler@physik.uni-erlangen.de> | |
12539 | ||
12540 | * proced.el (proced-header-line): New variable and new function. | |
12541 | (proced-mode): Set header-line-format. | |
12542 | (proced-update): Set proced-header-line. | |
12543 | (proced-send-signal): Use proced-header-line. | |
12544 | ||
12545 | 2008-05-21 Stefan Monnier <monnier@iro.umontreal.ca> | |
12546 | ||
12547 | * macros.el (insert-kbd-macro): Use prin1-char. | |
12548 | ||
12549 | 2008-05-20 Stefan Monnier <monnier@iro.umontreal.ca> | |
12550 | ||
12551 | * minibuffer.el (completion-boundaries): New function. | |
12552 | (completion--some): Delay errors. | |
12553 | (complete-with-action, completion-table-with-context): | |
12554 | Handle `boundaries' case. | |
12555 | (completion--try-word-completion): Avoid partial-completion | |
12556 | when the user hasn't entered anything yet. | |
12557 | (minibuffer-local-map, minibuffer-local-filename-completion-map) | |
12558 | (minibuffer-local-must-match-map, minibuffer-local-completion-map) | |
12559 | (minibuffer-local-must-match-filename-map, minibuffer-local-ns-map): | |
12560 | Setup default keybindings. | |
12561 | (completion--embedded-envvar-re): New var. | |
12562 | (completion--embedded-envvar-table): Use it. Handle `boundaries' case. | |
12563 | (completion--file-name-table): Handle `boundaries' case. | |
12564 | (completion-pcm--pattern->regex): Avoid pathological backtracking. | |
12565 | (completion-pcm--all-completions): Add a `prefix' arg. | |
12566 | (completion-pcm--find-all-completions): New function. | |
12567 | (completion-pcm-all-completions, completion-pcm-try-completion): | |
12568 | Use it. | |
12569 | ||
12570 | * icomplete.el (icomplete-completions): Don't use `predicate' with | |
12571 | a table of a different type than `candidates'. | |
12572 | ||
12573 | 2008-05-20 Roland Winkler <Roland.Winkler@physik.uni-erlangen.de> | |
12574 | ||
12575 | * proced.el (proced-goal-column): Rename from proced-procname-column. | |
12576 | (proced-goal-header-re): Rename from proced-procname-column-regexp. | |
12577 | (proced-move-to-goal-column): Rename from proced-move-to-procname. | |
12578 | (proced-header-face, proced-header-regexp): Remove. | |
12579 | (proced-font-lock-keywords): Remove proced-header-face. | |
12580 | (proced-header-alist, proced-sorting-schemes-re): New variables. | |
12581 | (proced): Rename Proced buffer to *Proced*. | |
12582 | (proced-next-line, proced-previous-line): New commands. | |
12583 | (proced-do-mark, proced-do-mark-all, proced-toggle-marks) | |
12584 | (proced-hide-processes): Do not treat first line as special. | |
12585 | (proced-header-space): New function. | |
12586 | (proced-update): Use header-line-format. | |
12587 | Initialize proced-header-alist and proced-sorting-schemes-re. | |
12588 | Set proced-goal-column. Include proced-command in mode-name. | |
12589 | (proced-send-signal): Use header-line-format for *Marked | |
12590 | Processes* buffer. | |
12591 | (proced-sort): Restrict minibuffer completion to applicable | |
12592 | sorting schemes. | |
12593 | (proced-sorting-scheme-p): Use proced-sorting-schemes-re. | |
12594 | ||
12595 | 2008-05-20 Stefan Monnier <monnier@iro.umontreal.ca> | |
12596 | ||
12597 | * icomplete.el (icomplete-simple-completing-p): | |
12598 | Allow icomplete-with-completion-tables to say "use it everywhere". | |
12599 | (icomplete-completions): Obey completion-styles. Try to accommodate | |
12600 | partial-completion style. | |
12601 | ||
12602 | 2008-05-20 Michael Olson <mwolson@gnu.org> | |
12603 | ||
12604 | * files.el (project-find-settings-file): Change concat to | |
12605 | expand-file-name. | |
12606 | ||
12607 | 2008-05-19 Tom Tromey <tromey@redhat.com> | |
12608 | ||
12609 | * files.el (normal-mode): Call hack-project-variables. | |
12610 | (hack-local-variables-confirm): Add 'project' argument. | |
12611 | (hack-local-variables-apply): New function. | |
12612 | (hack-local-variables): Use it. | |
12613 | (project-class-alist, project-directory-alist): New variables. | |
12614 | (project-get-alist): New function. | |
12615 | (project-collect-bindings-from-alist) | |
12616 | (project-collect-binding-list, set-directory-project) | |
12617 | (project-find-settings-file, project-define-from-project-file) | |
12618 | (hack-project-variables): New functions. | |
12619 | ||
12620 | 2008-05-19 Stefan Monnier <monnier@iro.umontreal.ca> | |
12621 | ||
12622 | * emacs-lisp/cl-specs.el (destructuring-bind): Fix spec. | |
12623 | ||
12624 | 2008-05-19 Juanma Barranquero <lekktu@gmail.com> | |
12625 | ||
12626 | * faces.el (font-weight-table): Fix typo in docstring. | |
12627 | ||
12628 | 2008-05-18 David Hull <david@snap.com> (tiny change) | |
12629 | ||
12630 | * vc-hg.el (vc-hg-annotate-re): Recognize the output of --follow. | |
12631 | (vc-hg-annotate-command): Allow white space before version number. | |
12632 | ||
12633 | 2008-05-18 Jay Belanger <jay.p.belanger@gmail.com> | |
12634 | ||
12635 | * calc/calc-yank.el (calc-register-alist): New variable. | |
12636 | (calc-set-register, calc-get-register, calc-copy-to-register) | |
12637 | (calc-insert-register, calc-add-to-register, calc-append-to-register) | |
12638 | (calc-prepend-to-register): New functions. | |
12639 | ||
12640 | * calc/calc-ext.el (calc-init-extensions): Add keybindings for | |
12641 | `calc-copy-to-register' and `calc-insert-register'. | |
12642 | Autoload new register functions. | |
12643 | ||
12644 | * calc/calc-help.el (calc-r-prefix-help): Add help for register | |
12645 | functions. | |
12646 | ||
12647 | 2008-05-18 Dan Nicolaescu <dann@ics.uci.edu> | |
12648 | ||
12649 | * vc.el (Todo): Add known problems. | |
12650 | (vc-dir-backend): New variable. | |
12651 | (vc-dir): Set it. | |
12652 | (vc-make-backend-object, vc-generic-status-printer) | |
12653 | (vc-generic-state, vc-generic-status-fileinfo-extra) | |
12654 | (vc-dir-extra-menu): Use it. | |
12655 | (vc-generic-dir-headers): Remove, unused. | |
12656 | ||
12657 | * vc-dispatcher.el (vc-dir-previous-directory): Rename from | |
12658 | vc-dir-prev-directory for consistency with vc-dir-previous-line. | |
12659 | (vc-dir-mode-map): Fix bindings. | |
12660 | ||
12661 | * vc-bzr.el (vc-bzr-after-dir-status): Remove unused binding. | |
12662 | ||
12663 | 2008-05-18 Jay Belanger <jay.p.belanger@gmail.com> | |
12664 | ||
12665 | * calc/calc-yank.el (calc-kill): Make sure that only the stack is | |
12666 | operated on. | |
12667 | (calc-kill-region): Kill entire lines. | |
12668 | ||
12669 | 2008-05-17 Glenn Morris <rgm@gnu.org> | |
12670 | ||
12671 | * ezimage.el (ezimage-use-images): Drop support for Emacs < 21 and | |
12672 | simplify initial value. | |
12673 | (defezimage): Drop support for Emacs without defimage, use a featurep | |
12674 | test rather than fboundp when defining, drop with-no-warnings. | |
12675 | (ezimage-insert-over-text): Move featurep test inside | |
12676 | add-text-properties. | |
12677 | ||
12678 | * elide-head.el (elide-head-headers-to-hide): Handle GPLv3 format. | |
12679 | ||
12680 | * net/tramp.el (top-level): Load auth-source when compiling. | |
12681 | ||
12682 | * progmodes/fortran.el (fortran-font-lock-keywords-2): Add .eqv., .neqv. | |
12683 | ||
12684 | 2008-05-17 Andreas Schwab <schwab@suse.de> | |
12685 | ||
12686 | * vc-dispatcher.el (vc-dir-mode-map): Fix M-down and M-up binding. | |
12687 | ||
12688 | 2008-05-17 Dan Nicolaescu <dann@ics.uci.edu> | |
12689 | ||
12690 | * vc.el (vc-annotate-show-diff-revision-at-line): Change | |
183080b6 | 12691 | vc-diff-internal arg to match what vc-deduce-fileset returns now. |
e3d51b27 MR |
12692 | |
12693 | 2008-05-17 Vinicius Jose Latorre <viniciusjl@ig.com.br> | |
12694 | ||
12695 | * menu-bar.el (menu-bar-select-buffer): Reinsert it as msb.el uses it. | |
12696 | ||
12697 | 2008-05-17 Jim Meyering <meyering@redhat.com> | |
12698 | ||
12699 | * ido.el (ido-save-history): Do not emit a trailing newline at the | |
12700 | end of the ido history file. | |
12701 | ||
12702 | 2008-05-16 John Paul Wallington <jpw@pobox.com> | |
12703 | ||
12704 | * vc-dispatcher.el (top-level): Revert previous change: require cl | |
12705 | when compiling. | |
12706 | ||
12707 | 2008-05-16 Eric S. Raymond <esr@snark.thyrsus.com> | |
12708 | ||
12709 | * vc.el (vc-default-status-printer) | |
12710 | (vc-default-prettify-state-info): Enhance the state prettyprinter | |
12711 | to deal better with unknown states and indirect through it when | |
12712 | painting vc-dir buffers. | |
12713 | ||
12714 | 2008-05-16 John Paul Wallington <jpw@pobox.com> | |
12715 | ||
12716 | * vc-dispatcher.el (top-level): Don't require cl when compiling. | |
12717 | (vc-dir-mode-map): Fix backquote. | |
12718 | (vc-at-event): Doc fix. Use `make-symbol' instead of `gensym'. | |
12719 | ||
12720 | 2008-05-16 Michael Albinus <michael.albinus@gmx.de> | |
12721 | ||
12722 | * net/tramp.el (tramp-handle-write-region): Fix check for short track. | |
12723 | Reported by Glenn Morris <rgm@gnu.org>. | |
12724 | ||
12725 | 2008-05-16 Eric S. Raymond <esr@snark.thyrsus.com> | |
12726 | ||
12727 | * vc.el: Remove my analysis of SCCS/RCS concurrency issues from | |
12728 | the end of the file, it was good work at one time but has been | |
12729 | stale since 1995 and may now be actively misleading. | |
12730 | * vc-cvs.el (vc-cvs-status-extra-headers): Extract and display the | |
12731 | CVS repository and module (assumptions for the latter a bit iffy). | |
12732 | * vc-svn.el (vc-svn-status-extra-headers): Extract and display the | |
12733 | SVN repository. | |
12734 | ||
12735 | 2008-05-16 Juanma Barranquero <lekktu@gmail.com> | |
12736 | ||
12737 | * vc-rcs.el (vc-rcs-create-tag): | |
12738 | * vc-sccs.el (vc-sccs-create-tag): | |
12739 | Fix typo in error message and pass backend arg. | |
12740 | ||
12741 | 2008-05-15 Michael Albinus <michael.albinus@gmx.de> | |
12742 | ||
12743 | * net/tramp.el (tramp-file-name-for-operation): Add `make-temp-name'. | |
12744 | ||
12745 | 2008-05-15 Teodor Zlatanov <tzz@lifelogs.com> | |
12746 | ||
12747 | * net/tramp.el: Load auth-source library. | |
12748 | (tramp-read-passwd): Use it for password, not login. | |
12749 | ||
12750 | 2008-05-15 Shigeru Fukaya <shugeru.fukaya@gmail.com> | |
12751 | ||
12752 | * ses.el (ses-goto-print): Use move-to-column rather than forward-char. | |
12753 | (ses-print-cell): Use string-width, truncate-string-to-width, | |
12754 | delete-region rather than length, substring, delete-char. | |
12755 | (ses-setup): Set inhibit-point-motion-hooks to t. | |
12756 | Calculate position by actually moving point rather than just using | |
12757 | unibyte character length. | |
12758 | (ses-mode): Set indent-tabs-mode to nil. | |
12759 | (ses-center): Use string-width rather than length. | |
12760 | ||
12761 | 2008-05-15 Eric S. Raymond <esr@snark.thyrsus.com> | |
12762 | ||
12763 | * vc-cvs.el, vc-git.el, vc-hg.el, vc-hooks.el, vc-mcvs.el, | |
12764 | * vc-rcs.el, vc-sccs.el, vc-svn.el, vc.el: | |
12765 | Rename vc-*-create-snapshot and vc-*-retrieve-snapshot to | |
12766 | vc-*-create-tag and vc-*-retrieve-tag respectively. | |
12767 | ||
12768 | * vc-dispatcher.el: Fix an incorrect buffer name and remove an | |
12769 | unneeded defalias. | |
12770 | ||
12771 | * vc.el, vc-dispatcher.el (vc-dir-menu-map-filter): Move. | |
12772 | ||
12773 | * vc.el, vc-dispatcher.el (vc-dir-menu-map): Remove assumption | |
12774 | about buffer names. | |
12775 | ||
12776 | 2008-05-15 Glenn Morris <rgm@gnu.org> | |
12777 | ||
12778 | * vc-hooks.el (vc-directory-resynch-file): Fix declaration. | |
12779 | ||
12780 | * org/org-exp.el (org-infojs-options-inbuffer-template): | |
12781 | Fix declaration. | |
12782 | ||
12783 | * progmodes/cc-mode.el (declare-function): Add compat definition. | |
12784 | (awk-mode-syntax-table, c-awk-unstick-NL-prop): Declare for compiler. | |
12785 | ||
12786 | 2008-05-14 Eric S. Raymond <esr@snark.thyrsus.com> | |
12787 | ||
12788 | * vc-dispatcher.el (vc-dispatcher-selection): Change the returned | |
12789 | list to a cons so the caller can get back both expanded and | |
12790 | unexpanded filesets. | |
183080b6 | 12791 | * vc.el (vc-deduce-fileset, vc-next-action, vc-diff-internal) |
e3d51b27 MR |
12792 | (vc-merge, vc-version-diff, vc-print-log, vc-revert, vc-rollback): |
12793 | Change handling of selection-set returns as required. | |
12794 | ||
12795 | 2008-05-15 John Paul Wallington <jpw@pobox.com> | |
12796 | ||
12797 | * add-log.el (top-level): Don't require cl when compiling. | |
12798 | ||
12799 | * arc-mode.el (archive-add-new-member): Use `derived-mode-p'. | |
12800 | (archive-*-extract): Use `zerop'. | |
12801 | (archive-*-write-file-member): Use `or', use `zerop'. | |
12802 | ||
12803 | * diff-mode.el (diff-current-defun): Use `buffer-local-value'. | |
12804 | ||
12805 | * ibuffer.el (ibuffer-assert-ibuffer-mode): New defsubst. | |
12806 | (ibuffer-mark-interactive, ibuffer-set-mark) | |
12807 | (ibuffer-insert-buffer-line, ibuffer-redisplay-current) | |
12808 | (ibuffer-map-lines, ibuffer-switch-format) | |
12809 | (ibuffer-update-title-and-summary) | |
12810 | (ibuffer-redisplay-engine): Use it. | |
12811 | ||
12812 | * ibuf-ext.el (ibuffer-interactive-filter-by-mode) | |
12813 | (ibuffer-set-filter-groups-by-mode, ibuffer-list-buffer-modes) | |
12814 | (define-ibuffer-filter mode, define-ibuffer-filter used-mode) | |
12815 | (define-ibuffer-sorter major-mode, ibuffer-mark-unsaved-buffers) | |
12816 | (ibuffer-mark-read-only-buffers) | |
12817 | (ibuffer-mark-dired-buffers): Use `buffer-local-value'. | |
12818 | ||
12819 | * ibuf-macs.el (ibuffer-aif, ibuffer-awhen, ibuffer-save-marks) | |
12820 | (define-ibuffer-column, define-ibuffer-sorter) | |
12821 | (define-ibuffer-op, define-ibuffer-filter): Add declarations | |
12822 | containing indentation specs, replacing equivalent top-level | |
12823 | forms that set `lisp-indent-function' properties. | |
12824 | (define-ibuffer-op): Use `derived-mode-p'. | |
12825 | (define-ibuffer-filter): Remove redundant `concat' call. | |
12826 | ||
12827 | 2008-05-14 Michael Albinus <michael.albinus@gmx.de> | |
12828 | ||
12829 | * net/tramp.el (tramp-echo-mark): Update docstring. | |
12830 | (tramp-echo-mark-marker): New defconst. | |
12831 | (tramp-check-for-regexp): Use it. | |
12832 | ||
12833 | 2008-05-14 Eric S. Raymond <esr@snark.thyrsus.com> | |
12834 | ||
12835 | * vc.el (vc-deduce-fileset): Do the right thing when visiting a | |
12836 | buffer (say, a log buffer or diff buffer) with a vc-dir buffer | |
12837 | as parent. | |
12838 | ||
12839 | 2008-05-14 John Paul Wallington <jpw@pobox.com> | |
12840 | ||
12841 | * international/mule.el (convert-define-charset-argument): | |
12842 | Remove period from end of error message. | |
12843 | (coding-system-mnemonic): Doc fix. | |
12844 | (ctext-pre-write-conversion): Doc fix. | |
12845 | ||
12846 | 2008-05-14 Simon Marshall <simon@gnu.org> | |
12847 | ||
12848 | * obsolete/fast-lock.el (fast-lock-cache-directories): Remove "." | |
12849 | from its default value and give it the risky-local-variable | |
12850 | property (CVE-2008-2142). | |
12851 | ||
12852 | 2008-05-14 Kenichi Handa <handa@m17n.org> | |
12853 | ||
12854 | * language/korean.el ("Korean"): Set `iso639-language' | |
12855 | property to `ko'. | |
12856 | ||
12857 | * language/japanese.el ("Japanese"): Set `iso639-language' | |
12858 | property to `ja'. | |
12859 | ||
12860 | * language/chinese.el ("Chinese-GB", "Chinese-BIG5") | |
12861 | ("Chinese-CNS", "Chinese-EUC-TW", "Chinese-GBK") | |
12862 | ("Chinese-GB18030"): Set `iso639-language' property to `zh'. | |
12863 | ||
12864 | * international/mule-cmds.el (set-language-environment): | |
12865 | Set current-iso639-language. | |
12866 | ||
12867 | * international/fontset.el (setup-default-fontset): For kana, han, | |
12868 | hangul, and cjk-misc, move an entry with font-spec at the end. | |
12869 | (generate-fontset-menu): Exclude fontset-auto* from the list. | |
12870 | ||
12871 | * composite.el (compose-chars-after): Assume that WINDOW is always | |
12872 | non-nil. | |
12873 | ||
12874 | * faces.el (font-weight-table, font-slant-table) | |
12875 | (font-swidth-table): Declare them by defconst. Change the format | |
12876 | of elements. Call internal-set-font-style-table after their | |
12877 | declaration. | |
12878 | (face-valid-attribute-values): Call font-family-list. Get values | |
12879 | for width, weight, and slant from font-xxx-table. | |
12880 | ||
12881 | * cus-face.el (custom-face-attributes): Add "thin" for :weight. | |
12882 | ||
12883 | 2008-05-13 John Paul Wallington <jpw@pobox.com> | |
12884 | ||
12885 | * ibuffer.el (ibuffer-buffer-file-name): New function. | |
12886 | (define-ibuffer-column filename): Use it. | |
12887 | ||
12888 | * ibuf-ext.el (define-ibuffer-filter filename): Use it. | |
12889 | ||
12890 | 2008-05-13 Chong Yidong <cyd@stupidchicken.com> | |
12891 | ||
12892 | * talk.el (talk): Simplify. Pass display arg to talk-add-display | |
12893 | as a string. | |
12894 | (talk-add-display): Simplify. Accept only string args. | |
12895 | ||
12896 | 2008-05-13 Jay Belanger <jay.p.belanger@gmail.com> | |
12897 | ||
12898 | * calc/calc.el (calc-mode-map): Remove old keybinding for `calc-yank'. | |
12899 | ||
12900 | 2008-05-13 Stefan Monnier <monnier@iro.umontreal.ca> | |
12901 | ||
12902 | * Makefile.in (bootstrap-prepare): Don't chmod files. | |
12903 | (autoloads): Do it here instead, where it's actually needed. | |
12904 | ||
12905 | 2008-05-13 Chong Yidong <cyd@stupidchicken.com> | |
12906 | ||
12907 | * tool-bar.el (tool-bar-make-keymap): Account for the optional | |
12908 | KEY-BINDING-DATA field in menu-item list. | |
12909 | ||
12910 | 2008-05-13 Stefan Monnier <monnier@iro.umontreal.ca> | |
12911 | ||
12912 | * dired.el (dired-read-dir-and-switches): Move things about to use less | |
12913 | recursion during macroexpansion. | |
12914 | ||
12915 | * dired.el (dired-read-dir-and-switches): Use read-file-name. | |
12916 | ||
12917 | * dired.el (dired-read-dir-and-switches): Ignore ., .., and | |
12918 | completion-ignored-extension directories if there's something else. | |
12919 | (dired-mark-if, dired-map-over-marks, dired-readin, dired-revert) | |
12920 | (dired-remember-marks, dired-undo, dired-build-subdir-alist) | |
12921 | (dired-internal-do-deletions, dired-mark-files-in-region, dired-mark) | |
12922 | (dired-toggle-marks, dired-change-marks, dired-unmark-all-files): | |
12923 | buffer-read-only -> inhibit-read-only. | |
12924 | ||
12925 | 2008-05-12 Eric S. Raymond <esr@snark.thyrsus.com> | |
12926 | ||
12927 | * vc.el (vc-expand-dirs): Stop this function from tossing out | |
12928 | explicitly specified files. | |
12929 | ||
12930 | 2008-05-12 Stefan Monnier <monnier@iro.umontreal.ca> | |
12931 | ||
12932 | * smerge-mode.el (smerge-apply-resolution-patch): Don't pass nil | |
12933 | to `insert'. | |
12934 | ||
12935 | 2008-05-12 Dan Nicolaescu <dann@ics.uci.edu> | |
12936 | ||
12937 | * vc.el (vc-annotate-show-diff-revision-at-line): Remove incorrect | |
12938 | cons. Use vc-annotate-backend. | |
12939 | (with-vc-file, edit-vc-file): Remove unused macros. | |
12940 | ||
12941 | 2008-05-12 Teodor Zlatanov <tzz@lifelogs.com> | |
12942 | ||
12943 | * mail/smtpmail.el: Add autoload for `auth-source-user-or-password'. | |
12944 | (smtpmail-try-auth-methods): Use it. | |
12945 | ||
12946 | 2008-05-12 Stefan Monnier <monnier@iro.umontreal.ca> | |
12947 | ||
12948 | * emacs-lisp/cl.el (cl-set-nthcdr): Make it a defsubst so that | |
12949 | (setf (nthcdr ..) ..) doesn't require CL at runtime. | |
12950 | ||
12951 | 2008-05-11 Carsten Dominik <dominik@science.uva.nl> | |
12952 | ||
12953 | * org/org.el (org-modules): Repair problems with loading org-jsinfo.org. | |
12954 | ||
12955 | 2008-05-11 Stefan Monnier <monnier@iro.umontreal.ca> | |
12956 | ||
12957 | * vc-bzr.el (vc-bzr-sha1, vc-bzr-command-discarding-stderr) | |
12958 | (vc-bzr-revision-completion-table): Use process-file. | |
12959 | (vc-bzr-working-revision): Pass relative file names. | |
12960 | ||
12961 | * diff-mode.el (diff-hunk-header-re): Refine the regexp. | |
12962 | ||
12963 | 2008-05-11 Juri Linkov <juri@jurta.org> | |
12964 | ||
12965 | * wid-edit.el (widget-image-directory): Change default image data | |
12966 | subdirectory from "custom" to "images/custom". | |
12967 | ||
12968 | * info.el (Info-next-preorder): Let-bind `Info-history' to nil | |
12969 | before recursive call to `Info-next-preorder' to not add | |
12970 | intermediate nodes to the history. | |
12971 | ||
12972 | * isearch.el: Put isearch-scroll property on recenter-top-bottom. | |
12973 | ||
12974 | * emulation/cua-base.el: Put isearch-scroll property | |
12975 | on cua-scroll-up and cua-scroll-down. | |
12976 | ||
12977 | 2008-05-11 Eric S. Raymond <esr@snark.thyrsus.com> | |
12978 | ||
12979 | * vc-hooks.el (vc-recompute-state): Remove (dead code). | |
12980 | ||
12981 | 2008-05-10 Dan Nicolaescu <dann@ics.uci.edu> | |
12982 | ||
12983 | * vc-dispatcher.el (ewoc): Require. | |
12984 | (vc-log-edit, vc-buffer-sync): Declare for byte compiler. | |
12985 | ||
12986 | * vc-hg.el (vc-hg-diff, vc-hg-annotate-command): Use when not if. | |
12987 | ||
12988 | 2008-05-10 Chong Yidong <cyd@stupidchicken.com> | |
12989 | ||
12990 | * term/w32-win.el (x-colors): | |
12991 | * term/mac-win.el (x-colors): | |
12992 | * term/x-win.el (x-colors): Re-order colors. | |
12993 | ||
12994 | 2008-05-10 Reiner Steib <reiner.steib@gmx.de> | |
12995 | ||
12996 | * smerge-mode.el (smerge-command-prefix): Fix custom type. | |
12997 | ||
12998 | 2008-05-10 Eric S. Raymond <esr@snark.thyrsus.com> | |
12999 | ||
13000 | * vc-dispatcher.el (vc-dir-next-directory, vc-dir-prev-directory): | |
13001 | New functions implementing motion to next and previous directory. | |
13002 | ||
13003 | * vc-arch.el (vc-arch-command): | |
13004 | * vc-bzr.el (vc-bzr-command): | |
13005 | * vc-cvs.el (vc-cvs-command): | |
13006 | * vc-dispatcher.el (vc-do-command): | |
13007 | * vc-git.el (vc-git-command): | |
13008 | * vc-hg.el (vc-hg-command): | |
13009 | * vc-mcvs.el (vc-mvcs-command): | |
13010 | * vc-mtn.el (vc-mtn-command): | |
13011 | * vc-sccs.el (vc-sccs-command, vc-sccs-workfile) | |
13012 | (vc-sccs-workfile-unchanged-p): | |
13013 | * vc-svn.el (vc-svn-command, vc-svn-create-repo): | |
13014 | * vc-rcs.el (all methods): Remove assumption about what a nil | |
13015 | argument to vc-do-command means. This means no buffer name needs | |
13016 | to be hardcoded into the dispatcher layer, and it's better to be | |
13017 | explicit anyway. | |
13018 | ||
13019 | * vc-svn.el (vc-svn-dir-state-heuristic): Remove. | |
13020 | ||
13021 | 2008-05-10 Dan Nicolaescu <dann@ics.uci.edu> | |
13022 | ||
13023 | * vc.el: Update todo. | |
13024 | ||
13025 | * vc-sccs.el (vc-sccs-dir-status): | |
13026 | * vc-rcs.el (vc-rcs-dir-status): Avoid using results from multiple | |
13027 | backends and returning up to date files. | |
13028 | ||
13029 | * vc-hooks.el (vc-prefix-map): Remove duplicate binding. | |
13030 | ||
13031 | 2008-05-09 Eric S. Raymond <esr@snark.thyrsus.com> | |
13032 | ||
13033 | * vc.el (vc-dir): | |
13034 | * vc-hooks.el: Tweak the VC directory bindings. These are now | |
13035 | documented in the manual. | |
13036 | ||
13037 | * vc-dispatcher.el (vc-dir-update, vc-dir-parent-marked-p) | |
13038 | (vc-dir-children-marked-p): Remove the vc-dir-insert-directories global. | |
13039 | (vc-dispatcher-selection-set): Allow callers to pass in an | |
13040 | observer flag that says no buffer sync is required. | |
13041 | * vc.el (vc-deduce-fileset, vc-print-log, vc-version-diff): | |
13042 | Use the observer flag. | |
13043 | ||
13044 | 2008-05-09 Michael Albinus <michael.albinus@gmx.de> | |
13045 | ||
13046 | * simple.el (start-file-process): Clarify docstring. | |
13047 | ||
13048 | 2008-05-09 Eric S. Raymond <esr@snark.thyrsus.com> | |
13049 | ||
13050 | * vc-sccs.el, vc-svn.el, vc-git.el, vc-hg.el, vc-mtn.el: | |
13051 | Remove stub implementations of, and references to, wash-log. | |
13052 | * vc-rcs.el (vc-rcs-comment-history): | |
13053 | * vc-cvs.el (vc-cvs-comment-history): | |
13054 | Inline the code that used to be wash-log. | |
13055 | ||
13056 | * vc-sccs.el (vc-sccs-checkin, vc-sccs-checkout, vc-sccs-rollback) | |
13057 | (vc-sccs-revert, vc-sccs-steal-lock, vc-sccs-modify-change-comment) | |
13058 | (vc-sccs-print-log, vc-sccs-diff): Grok directories. | |
13059 | * vc-rcs.el (vc-sccs-checkin, vc-sccs-checkout) | |
13060 | (vc-rcs-revert, vc-rcs-steal-lock, vc-rcs-modify-change-comment) | |
13061 | (vc-rcs-print-log): Grok directories. | |
13062 | ||
13063 | 2008-05-09 Stefan Monnier <monnier@iro.umontreal.ca> | |
13064 | ||
13065 | * vc.el (vc-mark-resolved): Add `backend' argument. | |
13066 | (vc-next-action): Pass it the backend. | |
13067 | (vc-next-action, vc-checkout, vc-mark-resolved, vc-version-diff) | |
13068 | (vc-merge, vc-rollback, vc-update, vc-transfer-file, vc-delete-file) | |
13069 | (vc-default-comment-history, vc-default-create-snapshot) | |
13070 | (vc-default-retrieve-snapshot, vc-default-revert, vc-annotate) | |
13071 | (vc-annotate-revision-previous-to-line) | |
13072 | (vc-annotate-show-diff-revision-at-line, vc-annotate-warp-revision): | |
13073 | * vc-svn.el (vc-svn-checkout): | |
13074 | * vc-mcvs.el (vc-mcvs-checkout): | |
13075 | * vc-hooks.el (vc-state, vc-default-workfile-unchanged-p) | |
13076 | (vc-working-revision, vc-before-save, vc-mode-line): | |
13077 | Prefer vc-call-backend to vc-call so as not to recompute the backend. | |
13078 | ||
13079 | * vc.el (vc-deduce-fileset): Don't require the checkout-model and the | |
13080 | state to be consistent since it's often an unwarranted restriction. | |
13081 | Don't return the state either. | |
13082 | (vc-next-action): Check that the state is consistent. | |
13083 | (vc-diff-internal, vc-version-diff, vc-print-log, vc-revert) | |
13084 | (vc-rollback, vc-update): Adapt to new return value of | |
13085 | vc-deduce-fileset. | |
13086 | ||
13087 | * vc-dispatcher.el (vc-dispatcher-browsing): Use derived-mode-p. | |
13088 | (vc-dir-prepare-status-buffer): Use vc-dispatcher-browsing. | |
13089 | (vc-dispatcher-in-fileset-p): New fun. | |
13090 | (vc-dispatcher-selection-set): Use it to properly handle directories. | |
13091 | ||
13092 | 2008-05-09 Dan Nicolaescu <dann@ics.uci.edu> | |
13093 | ||
13094 | * vc.el (vc-version-diff, vc-print-log, vc-revert, vc-rollback) | |
13095 | (vc-update): Remove unused let bindings. | |
13096 | ||
13097 | 2008-05-09 Eric S. Raymond <esr@snark.thyrsus.com> | |
13098 | ||
13099 | * vc.el (vc-deduce-fileset, vc-next-action, vc-version-diff) | |
13100 | (vc-diff, vc-revert, vc-rollback, vc-update): | |
13101 | * vc-dispatcher.el (vc-dispatcher-selection-set): | |
13102 | Get rid of 4 special cases in fileset selection. This involved | |
13103 | changing the return value of (vc-deduce-fileset) so that it passes | |
13104 | back a deduced state as well as a deduced back end. | |
13105 | ||
13106 | 2008-05-08 Sam Steingold <sds@gnu.org> | |
13107 | ||
13108 | * progmodes/compile.el (compilation-minor-mode-map) | |
13109 | (compilation-mode-map): Bind "g" to recompile and "q" to quit-window. | |
13110 | * progmodes/grep.el (grep-mode-map): Use `set-keymap-parent' to connect | |
13111 | it to `compilation-minor-mode-map' (instead of an explicit `cons'). | |
13112 | ||
13113 | 2008-05-08 Juanma Barranquero <lekktu@gmail.com> | |
13114 | ||
13115 | * org/org.el (org-modules, org-format-latex-options): | |
13116 | * org/org-archive.el (org-archive-stamp-time) | |
13117 | (org-archive-save-context-info): | |
13118 | * org/org-faces.el (org-hide): | |
13119 | * org/org-irc.el (org-irc-parse-link): | |
13120 | * org/org-macs.el (org-call-with-arg, org-autoload): | |
13121 | * org/org-mew.el (org-mew-store-link): | |
13122 | * org/org-remember.el (org-remember-store-without-prompt) | |
13123 | (org-remember-templates): Fix typos in docstrings. | |
13124 | ||
13125 | * org/org-info.el (org-info-store-link): Remove leftover docstring. | |
13126 | ||
13127 | * org/org-bbdb.el (org-bbdb-export): Remove leftover docstring. | |
13128 | (org-bbdb-anniversary-field, org-bbdb-extract-date-fun) | |
13129 | (org-bbdb-anniv-split): Fix typos in docstrings. | |
13130 | ||
13131 | * org/org-publish.el (org-publish-project-alist): Doc fixes. | |
13132 | (org-publish-use-timestamps-flag): Reflow docstring. | |
13133 | (org-publish-files-alist): Fix typos in docstring. | |
13134 | ||
13135 | 2008-05-07 Sam Steingold <sds@gnu.org> | |
13136 | ||
13137 | * pcvs-util.el (cvs-bury-buffer): Revert my patch: quit-window | |
13138 | appears to be too aggressive with window removal. | |
13139 | ||
13140 | 2008-05-08 Michael McNamara <mac@mail.brushroad.com> | |
13141 | ||
13142 | * progmodes/verilog-mode.el (verilog-type-font-keywords): | |
13143 | Add leda and 0in as pragma keywords. | |
13144 | (verilog-pretty-expr): Support lining up assignments which include | |
13145 | part selects. | |
13146 | (verilog-mode): More portable check for the availability of | |
13147 | hideshow support. | |
13148 | (verilog-do-indent): Remove special indent for declarations inside | |
13149 | a parenthetical list. The code is ill-advised, and doesn't work | |
13150 | given the new user defined types. | |
13151 | (verilog-set-auto-endcomments): Enhance function automatic | |
13152 | endcomment to support functions that return user defined types. | |
13153 | (verilog-mode): Add code to tell which-function-mode minor mode | |
13154 | that Verilog supports this feature. | |
13155 | ||
13156 | 2008-05-08 Eli Zaretskii <eliz@gnu.org> | |
13157 | ||
13158 | * epa-file.el: Require epa-hook. | |
13159 | ||
13160 | * loadup.el ("epa-hook"): Load epa-hook instead of epa-file-hook. | |
13161 | ||
13162 | * epa-hook.el: Renamed from epa-file-hook.el, to avoid | |
13163 | file-names clashes on 8+3 filesystems. Provide epa-hook. | |
13164 | ||
13165 | * org/org-jsinfo.el: Renamed from org-infojs.el, to avoid | |
13166 | file-names clashes on 8+3 filesystems. | |
13167 | ||
13168 | 2008-05-08 Carsten Dominik <dominik@science.uva.nl> | |
13169 | ||
13170 | * org/org.el (org-read-date-get-relative): Interpret lone | |
13171 | weekday abbreviation as relative to today. | |
13172 | ||
13173 | 2008-05-08 Juanma Barranquero <lekktu@gmail.com> | |
13174 | ||
13175 | * abbrev.el (define-abbrev-table): | |
13176 | * composite.el (toggle-auto-composition): | |
13177 | * json.el (json-alist-p, json-plist-p): | |
13178 | * minibuffer.el (completion-table-with-predicate): | |
13179 | * ps-mule.el (ps-mule-external-libraries): | |
13180 | * emacs-lisp/advice.el (ad-special-form-p): | |
13181 | * emacs-lisp/autoload.el (autoload-generate-file-autoloads): | |
13182 | * eshell/em-smart.el (eshell-review-quick-commands): | |
13183 | * progmodes/python.el (python-comment-line-p, python-blank-line-p) | |
13184 | (python-skip-out, python-check-comint-prompt): | |
13185 | Don't use `iff' in docstrings. | |
13186 | ||
13187 | * international/robin.el (robin-package-alist): Fix typo in docstring. | |
13188 | (robin-current-package-name): Doc fix. | |
13189 | (robin-activate): Don't use `iff' in docstring. | |
13190 | ||
13191 | 2008-05-07 Eric S. Raymond <esr@snark.thyrsus.com> | |
13192 | ||
13193 | * vc.el, vc-dispatcher.el: VC-Dired support removed. | |
13194 | The code uses a ewoc-based implementation now. | |
13195 | * vc-hooks.el: Support for Meta-CVS has been removed. | |
13196 | ||
13197 | 2008-05-07 Stefan Monnier <monnier@iro.umontreal.ca> | |
13198 | ||
13199 | * tool-bar.el: Choose images dynamically. | |
13200 | (tool-bar-make-keymap, tool-bar-find-image): New function. | |
13201 | (tool-bar-find-image-cache): New var. | |
13202 | (tool-bar-local-item, tool-bar-local-item-from-menu): | |
13203 | Don't select the image yet, do it later in tool-bar-make-keymap. | |
13204 | ||
13205 | 2008-05-07 Andreas Schwab <schwab@suse.de> | |
13206 | ||
13207 | * window.el: Require 'cl when compiling. | |
13208 | ||
13209 | 2008-05-07 Dan Nicolaescu <dann@ics.uci.edu> | |
13210 | ||
13211 | * vc-dispatcher.el (vc-dir-insert-directories): Default to t. | |
13212 | ||
13213 | 2008-05-07 Glenn Morris <rgm@gnu.org> | |
13214 | ||
13215 | * subr.el (ignore-errors): Move here from cl-macs.el. | |
13216 | * emacs-lisp/cl-macs.el (ignore-errors): Move to subr.el. | |
13217 | ||
13218 | * progmodes/fortran.el (fortran-mode): Fix font-lock-syntactic-keywords | |
13219 | oddness. | |
13220 | ||
13221 | 2008-05-06 Eric S. Raymond <esr@snark.thyrsus.com> | |
13222 | ||
13223 | * vc-hooks.el (vc-find-file-hook): | |
13224 | * vc-dispatcher.el (vc-resynch-window): Decouple vc-dispatcher | |
13225 | further from vc.el. | |
13226 | * vc.el (vc-dir-mode): Move VC-specific context menu entries here. | |
13227 | ||
13228 | 2008-05-06 Wilson Snyder <wsnyder@wsnyder.org> | |
13229 | ||
13230 | * progmodes/verilog-mode.el (verilog-getopt-file): | |
13231 | Cleanup warning message format. | |
13232 | (verilog-auto, verilog-auto-arg, verilog-auto-ascii-enum) | |
13233 | (verilog-auto-inout, verilog-auto-inout-module) | |
13234 | (verilog-auto-input, verilog-auto-inst, verilog-auto-inst-param) | |
13235 | (verilog-auto-output, verilog-auto-output-every, verilog-auto-reg) | |
13236 | (verilog-auto-reg-input, verilog-auto-reset, verilog-auto-sense) | |
13237 | (verilog-auto-sense-sigs, verilog-auto-tieoff) | |
13238 | (verilog-auto-unused, verilog-auto-wire) | |
13239 | (verilog-decls-get-assigns, verilog-decls-get-consts) | |
13240 | (verilog-decls-get-gparams, verilog-decls-get-inouts) | |
13241 | (verilog-decls-get-inputs, verilog-decls-get-outputs) | |
13242 | (verilog-decls-get-ports, verilog-decls-get-regs) | |
13243 | (verilog-decls-get-signals, verilog-decls-get-wires) | |
13244 | (verilog-dir-cache-lib-filenames, verilog-dir-cache-list) | |
13245 | (verilog-dir-cache-preserving, verilog-dir-file-exists-p) | |
13246 | (verilog-dir-files, verilog-expand-dirnames, verilog-getopt-file) | |
13247 | (verilog-inject-sense, verilog-library-filenames) | |
13248 | (verilog-mode-release-date, verilog-mode-version) | |
13249 | (verilog-modi-cache-add, verilog-modi-cache-preserve-buffer) | |
13250 | (verilog-modi-cache-preserve-tick, verilog-modi-cache-results) | |
13251 | (verilog-modi-get-assigns, verilog-modi-get-consts) | |
13252 | (verilog-modi-get-gparams, verilog-modi-get-inouts) | |
13253 | (verilog-modi-get-inputs, verilog-modi-get-outputs) | |
13254 | (verilog-modi-get-ports, verilog-modi-get-regs) | |
13255 | (verilog-modi-get-signals, verilog-modi-get-sub-inouts) | |
13256 | (verilog-modi-get-sub-inputs, verilog-modi-get-sub-outputs) | |
13257 | (verilog-modi-get-wires, verilog-preserve-cache) | |
13258 | (verilog-preserve-dir-cache, verilog-preserve-modi-cache) | |
13259 | (verilog-read-sub-decls, verilog-read-sub-decls-line) | |
13260 | (verilog-read-sub-decls-sig, verilog-subdecls-get-inouts) | |
13261 | (verilog-subdecls-get-inputs, verilog-subdecls-get-outputs): | |
13262 | Add caching of additional state, and rework signal extraction | |
13263 | routines to improve AUTO expansion performance by 300%++. | |
13264 | ||
13265 | 2008-05-06 Chong Yidong <cyd@stupidchicken.com> | |
13266 | ||
13267 | * progmodes/compile.el (compilation-error-regexp-alist-alist): | |
13268 | Tweak Open Watcom regexp to distinguish between errors and warnings. | |
13269 | ||
13270 | 2008-05-06 Stefan Monnier <monnier@iro.umontreal.ca> | |
13271 | ||
13272 | * dired.el (dired-read-dir-and-switches): Fix up last change. | |
13273 | ||
13274 | 2008-05-05 Eric S. Raymond <esr@snark.thyrsus.com> | |
13275 | ||
13276 | * vc.el (vc-deduce-fileset): Lift all the policy and UI stuff | |
13277 | out of this function, move it to vc-dispatcher-selection-set. | |
13278 | ||
13279 | 2008-05-05 Sam Steingold <sds@gnu.org> | |
13280 | ||
13281 | * window.el (delete-other-windows-vertically): New function. | |
13282 | ||
13283 | 2008-05-05 Stefan Monnier <monnier@iro.umontreal.ca> | |
13284 | ||
13285 | * dired.el (dired-read-dir-and-switches): | |
13286 | Obey read-file-name-completion-ignore-case. | |
13287 | ||
13288 | 2008-05-05 Nick Roberts <nickrob@snap.net.nz> | |
13289 | ||
13290 | * progmodes/compile.el (compilation-error-regexp-alist-alist): | |
13291 | Add regexp for Open Watcom compiler output. | |
13292 | ||
13293 | 2008-05-05 Phil Sung <psung@mit.edu> (tiny change) | |
13294 | ||
13295 | * progmodes/python.el (python-block-pairs): Align finally with except. | |
13296 | ||
13297 | 2008-05-05 Stefan Monnier <monnier@iro.umontreal.ca> | |
13298 | ||
13299 | * vc-rcs.el (vc-rcs-fetch-master-state): Fix inf-loop. | |
13300 | ||
13301 | 2008-05-05 Tom Tromey <tromey@redhat.com> | |
13302 | ||
13303 | * smerge-mode.el (smerge-start-session): Don't call smerge-next if | |
13304 | looking at conflict marker. | |
13305 | ||
13306 | 2008-05-05 Stefan Monnier <monnier@iro.umontreal.ca> | |
13307 | ||
13308 | * vc-dispatcher.el (vc-dir-mark-buffer-changed): Fix typo | |
13309 | client-mode -> vc-client-object, and guess `funcall' was meant. | |
13310 | (vc-dir-mode): Rename client-mode -> vc-client-mode. | |
13311 | ||
13312 | 2008-05-05 Dan Nicolaescu <dann@ics.uci.edu> | |
13313 | ||
13314 | * net/zeroconf.el (dbus-call-method, dbus-register-signal) | |
13315 | (dbus-debug): Move declarations outside eval-when-compile. | |
13316 | ||
13317 | 2008-05-04 Roland Winkler <Roland.Winkler@physik.uni-erlangen.de> | |
13318 | ||
13319 | * proced.el (proced-command-alist): Fix system-type values. | |
13320 | Fix defcustom. | |
13321 | (proced-sorting-schemes-alist, proced-sorting-scheme): New variables. | |
13322 | (proced-sort-pcpu, proced-sort-pmem, proced-sort-pid) | |
13323 | (proced-sort-start, proced-sort, proced-sort-time): New commands. | |
13324 | (proced-update): Use proced-sorting-scheme. Update modeline. | |
13325 | (proced-send-signal): Use nreverse. | |
13326 | (proced-sorting-scheme-p): New function. | |
13327 | ||
13328 | 2008-05-04 Andreas Schwab <schwab@suse.de> | |
13329 | ||
13330 | * vc.el: Require dired when compiling. | |
13331 | ||
13332 | * minibuffer.el (completion--insert-strings): Don't delete past bol. | |
13333 | ||
13334 | 2008-05-03 Glenn Morris <rgm@gnu.org> | |
13335 | ||
13336 | * ediff-diff.el, ediff-help.el, ediff-merg.el, ediff-mult.el: | |
13337 | * ediff-ptch.el, ediff-util.el, ediff-wind.el, ediff.el: | |
13338 | Simplify compilation requirements. | |
13339 | * ediff-init.el, ediff-vers.el: Remove unnecessary variable | |
13340 | declarations. | |
13341 | ||
13342 | 2008-05-03 Dave Love <fx@gnu.org> | |
13343 | ||
13344 | * progmodes/python.el (python-beginning-of-statement): | |
13345 | Loop at least once (fixes 2008-02-21 change). | |
13346 | ||
13347 | 2008-05-03 Eli Zaretskii <eliz@gnu.org> | |
13348 | ||
13349 | * ls-lisp.el (ls-lisp-insert-directory): Use `string-width' | |
13350 | instead of `length' for comparing length of user and group names. | |
13351 | ||
13352 | 2008-05-03 Eric S. Raymond <esr@snark.thyrsus.com> | |
13353 | ||
13354 | * vc-dispatcher.el: New file, separates out the UI and command | |
13355 | execution machinery from VCS-specific logic left in vc.el. | |
13356 | The separation is not yet completely clean, but it's a good start. | |
13357 | * vc.el: This file is about 1700 lines shorter now. | |
13358 | Remove obsolete logentry-check from the backend API. | |
13359 | * vc-sccs.el (vc-sccs-logentry-check): Remove. This was the only | |
13360 | implementation of the logentry-check method, and it guarded against | |
13361 | a log length limit that has probably been obsolete for 15 years (!). | |
13362 | ||
13363 | 2008-05-02 Sam Steingold <sds@gnu.org> | |
13364 | ||
13365 | * progmodes/compile.el (compilation-start): Move setting of | |
13366 | compilation-directory after (funcall mode) as that resets local | |
13367 | variables, this fixes recompile in grep buffers. | |
13368 | * progmodes/grep.el (grep-mode-map): Bind "g" to recompile (like | |
13369 | in dired &c). | |
13370 | ||
13371 | 2008-05-02 Eric S. Raymond <esr@snark.thyrsus.com> | |
13372 | ||
13373 | * vc-arch.el, vc-bzr.el, vc-cvs.el, vc-git.el, vc-hg.el, | |
13374 | * vc-hooks.el, vc-mcvs.el, vc-mtn.el, vc-rcs.el, vc-sccs.el, | |
13375 | * vc-svn.el, vc.el (vc-*-checkout-model): Make sure every backend | |
13376 | has one of these and that all are called in compatible ways. | |
13377 | * vc-arch.el, vc-bzr.el, vc-cvs.el, vc-git.el, vc-hg.el, | |
13378 | * vc-hooks.el, vc-mcvs.el, vc-mtn.el, vc-rcs.el, vc-sccs.el, | |
13379 | * vc-svn.el, vc.el (vc-*-revision-granularity): Make sure every | |
13380 | backend has one of these. | |
13381 | ||
13382 | 2008-05-02 Stefan Monnier <monnier@iro.umontreal.ca> | |
13383 | ||
13384 | * progmodes/octave-mod.el (octave-abbrev-table): Move defvar and | |
13385 | initialization into define-abbrev-table. Use :regexp. | |
13386 | (octave-mode-syntax-table): Don't set word syntax for `. | |
13387 | ||
13388 | * files.el (minibuffer-with-setup-hook): Allow `fun' expressions rather | |
13389 | than only value. | |
13390 | ||
13391 | * dired.el (dired-read-dir-and-switches): | |
13392 | Set minibuffer-completing-file-name and call substitute-in-file-name. | |
13393 | (dired-format-columns-of-files): Use completion--insert-strings. | |
13394 | ||
13395 | * minibuffer.el (completion-hilit-commonality): Revert last change: | |
13396 | the leftover code was actually useful. | |
13397 | (completion--insert-strings): Use string-width rather than length. | |
13398 | ||
13399 | 2008-05-02 Sam Steingold <sds@gnu.org> | |
13400 | ||
13401 | * vc.el (vc-dir-mode-map): Enable mouse bindings. | |
13402 | (vc-at-event): New macro: run the body at the even location. | |
13403 | (vc-dir-menu, vc-dir-toggle-mark): Use it. | |
13404 | (vc-dir-mark-file, vc-dir-unmark-file): Move only on non-mouse events. | |
13405 | * subr.el (mouse-event-p): Check if the event is mouse-related. | |
13406 | ||
13407 | 2008-05-02 Nick Roberts <nickrob@snap.net.nz> | |
13408 | ||
13409 | * progmodes/gdb-ui.el (gdb-info-breakpoints-custom): | |
13410 | Don't throw error if no file is found. | |
13411 | ||
13412 | 2008-05-02 Juanma Barranquero <lekktu@gmail.com> | |
13413 | ||
13414 | * vc-hooks.el (vc-call, vc-state): Fix typos in docstrings. | |
13415 | (vc-default-state-heuristic): Doc fix. | |
13416 | ||
13417 | 2008-05-02 Richard Sharman <rsharman@pobox.com> | |
13418 | ||
13419 | * hilit-chg.el (highlight-changes-mode): Remove references to | |
13420 | hooks that no longer exist. Because define-minor-mode is used the | |
13421 | hook highlight-changes-mode-hook exists and can do what both the | |
13422 | old hooks used to do. The documentation at the top of the file | |
13423 | was updated to demonstrate this. | |
13424 | (highlight-changes-mode): Remove commented out call to a hook | |
13425 | function that is no longer there. | |
13426 | (hilit-chg-set): Remove running of highlight-changes-enable-hook. | |
13427 | ||
13428 | 2008-05-02 Eric S. Raymond <esr@snark.thyrsus.com> | |
13429 | ||
13430 | * vc.el (vc-default-dired-state-info): Change name of primitive | |
13431 | to prettify-state-info, in preparation for ripping out dired mode. | |
13432 | * vc-bzr.el (vc-bzr-dired-state-info): Change name of primitive | |
13433 | to prettify-state-info, in preparation for ripping out dired mode. | |
13434 | * vc-hooks.el (vc-toggle-read-only): Throw an error when a user | |
13435 | tries this on a version-controlled buffer. It will do useless or | |
13436 | actively bad things on any version control system newer than RCS. | |
13437 | * vc-hooks.el (vc-dired-resynch-file): | |
13438 | * vc.el (vc-dired-resynch-file): Change name of primitive to | |
13439 | vc-directory-resynch-file, preparing to remove dired. | |
13440 | ||
13441 | 2008-05-02 Dan Nicolaescu <dann@ics.uci.edu> | |
13442 | ||
13443 | * vc-rcs.el (vc-rcs-state): Fix typos. | |
13444 | ||
13445 | * vc.el (vc-register): Change argument order so that the prefix | |
13446 | argument is assigned correctly. | |
13447 | (vc-next-action, vc-dir-register): Update for the above change. | |
13448 | ||
13449 | 2008-05-01 Juri Linkov <juri@jurta.org> | |
13450 | ||
13451 | * replace.el (occur-read-primary-args): Set default to the car of | |
13452 | regexp-history and display it in the prompt, but don't add to the | |
13453 | list of minibuffer defaults. Bind history-add-new-input to nil to | |
13454 | not add automatically `default'. For empty input return `default'. | |
13455 | Otherwise, add `input' to regexp-history and return it. | |
13456 | (occur-1): Signal an error for the empty regexp. | |
13457 | ||
13458 | * progmodes/compile.el (compilation-auto-jump): | |
13459 | Set window point to `pos' explicitly. | |
13460 | ||
13461 | 2008-05-01 Eric S. Raymond <esr@snark.thyrsus.com> | |
13462 | ||
13463 | * vc-bzr.el (vc-bzr-state): Allow this to return 'ignored | |
13464 | when appropriate. | |
13465 | * vc-sccs.el (vc-sccs-state): Call vc-sccs-unregistered so | |
13466 | we report the 'unregistered state reliably. | |
13467 | * vc-rcs.el (vc-rcs-state): Call vc-rcs-unregistered so | |
13468 | we report the 'unregistered state reliably. | |
13469 | * vc-git.el (vc-git-state): Call vc-git-unregistered so | |
13470 | we report the 'unregistered state reliably. | |
13471 | * vc-hooks.el (vc-state): Document that vc-unregistered is | |
13472 | now expected to be returned reliably. | |
13473 | * vc.el (vc-default-dired-state): Change needs-patch state to | |
13474 | needs-update, since the name now shows up in dir-status listings | |
13475 | and was somewhat misleading. | |
13476 | * vc-cvs.el (vc-cvs-delete-file): Don't do a "cvs commit" | |
13477 | immediately after removing the file. | |
13478 | * vc.el (vc-next-action): More informative messages when a fileset | |
13479 | is in a mixed state or files are missing. | |
13480 | ||
13481 | 2008-05-01 Sam Steingold <sds@gnu.org> | |
13482 | ||
13483 | * vc.el (vc-delete-file): Check if the file has uncommitted changes. | |
13484 | ||
13485 | 2008-05-01 Stefan Monnier <monnier@iro.umontreal.ca> | |
13486 | ||
13487 | * Makefile.in: Revert incorrect fix for claimed bootstrap breakage. | |
13488 | ||
13489 | 2008-05-01 Daiki Ueno <ueno@unixuser.org> | |
13490 | ||
13491 | * epa-file-hook.el: New file split from epa-file.el. | |
13492 | * epa-file.el: Require 'epa-file-hook. | |
13493 | (epa-file-handler): Add autoload cookie. | |
13494 | * loadup.el: Load epa-file-hook. | |
13495 | * startup.el (command-line): Eval the body of auto-encryption-mode. | |
13496 | ||
13497 | 2008-05-01 Dan Nicolaescu <dann@ics.uci.edu> | |
13498 | ||
13499 | * vc.el (vc-dir-mode-map): Don't bind "r". | |
13500 | ||
13501 | * vc-hg.el (vc-hg-extra-fileinfo): New defstruct. | |
13502 | (vc-hg-status-printer): New function. | |
13503 | (vc-hg-after-dir-status): Deal with copied and renamed files. | |
13504 | (vc-hg-dir-status): Add flag to show copied files. | |
13505 | ||
13506 | 2008-05-01 John Paul Wallington <jpw@pobox.com> | |
13507 | ||
13508 | * ibuffer.el (ibuffer-last-sorting-mode): New variable. | |
13509 | (ibuffer-do-sort-by-recency): Reverse sorting order if last | |
13510 | sorting mode was recency. | |
13511 | ||
13512 | * ibuf-macs.el (define-ibuffer-sorter): Define the sorter to | |
13513 | reverse sorting order if last sorting mode was the sorter's. | |
13514 | ||
13515 | 2008-05-01 Jason Rumney <jasonr@gnu.org> | |
13516 | ||
13517 | * w32-fns.el (w32-charset-info-alist): Map vietnamese to windows-1258. | |
13518 | ||
13519 | 2008-04-30 John Paul Wallington <jpw@pobox.com> | |
13520 | ||
13521 | * ibuffer.el (define-ibuffer-column filename): When the major mode | |
13522 | is `vc-dir-mode' show the buffer's default directory. | |
13523 | ||
13524 | * ibuf-ext.el (define-ibuffer-filter filename): Likewise when the | |
13525 | major mode is `vc-dir-mode' use the buffer's default directory. | |
13526 | ||
13527 | 2008-04-30 Sam Steingold <sds@gnu.org> | |
13528 | ||
13529 | * vc.el (vc-dir-delete-file): Add. | |
13530 | (vc-dir-mode-map): Bind "r" to vc-dir-delete-file, like in PCL-CVS. | |
13531 | (vc-delete-file): Do not barf when the file has been already deleted. | |
13532 | ||
13533 | 2008-04-30 Dan Nicolaescu <dann@ics.uci.edu> | |
13534 | ||
13535 | * emacs-lisp/lisp-mode.el (lisp-mode-map): Add menu. | |
13536 | ||
13537 | 2008-04-30 Stefan Monnier <monnier@iro.umontreal.ca> | |
13538 | ||
13539 | * progmodes/octave-mod.el (octave-help): New function. | |
13540 | * progmodes/octave-hlp.el: Delete. | |
13541 | * info-look.el (octave-mode): Add operator index. | |
13542 | ||
13543 | * vc.el (vc-checkout): Typo. | |
13544 | ||
13545 | 2008-04-30 Dan Nicolaescu <dann@ics.uci.edu> | |
13546 | ||
13547 | * menu-bar.el (menu-bar-tools-menu): Reorder, place Games last. | |
13548 | Add "..." in the appropriate places. | |
13549 | ||
13550 | * epa.el (epa-key-list-mode-map): Add more menu entries. | |
13551 | Add "..." in the appropriate places. | |
13552 | ||
13553 | * dired.el (dired-mode-map): Add :help. | |
13554 | ||
13555 | * vc.el (vc-deduce-fileset): Add new parameter. | |
13556 | (vc-dir-marked-only-files): New function. | |
13557 | (vc-next-action): Don't ignore directories, look at the files | |
13558 | inside them. | |
13559 | (vc-dir-mode): Document how the mark/unmark commands work. | |
13560 | ||
13561 | 2008-04-30 Stefan Monnier <monnier@iro.umontreal.ca> | |
13562 | ||
13563 | * progmodes/compile.el (compilation-error-regexp-alist-alist) <gnu>: | |
13564 | Rule out trailing spaces in file and directory names as well. | |
13565 | ||
13566 | * minibuffer.el (completion--do-completion): Move point even if the | |
13567 | completion makes no change. | |
13568 | (completion-pcm-try-completion): Fix computation of new point. | |
13569 | ||
13570 | 2008-04-30 David Hansen <david.hansen@gmx.net> | |
13571 | ||
13572 | * dired.el: Require 'cl. | |
13573 | ||
13574 | 2008-04-30 Nick Roberts <nickrob@snap.net.nz> | |
13575 | ||
13576 | * progmodes/gdb-ui.el (gdb-frame-handler-1): Make overlay arrow | |
13577 | hollow if not in innermost frame. | |
13578 | ||
13579 | 2008-04-29 Stefan Monnier <monnier@iro.umontreal.ca> | |
6b5e47dd | 13580 | |
e3d51b27 MR |
13581 | Make `checkout-model' apply to filesets. |
13582 | * vc-hooks.el (vc-checkout-model): Rewrite. | |
13583 | (vc-before-save, vc-after-save): Adjust callers accordingly. | |
13584 | * vc.el (vc-editable-p, vc-next-action, vc-checkout, vc-update) | |
13585 | (vc-transfer-file): Adjust callers accordingly. | |
13586 | * vc-rcs.el (vc-rcs-checkout-model): Adjust arg. | |
13587 | (vc-rcs-state, vc-rcs-state-heuristic, vc-rcs-receive-file) | |
13588 | (vc-rcs-checkout, vc-rcs-fetch-master-state): Use vc-rcs-checkout-model | |
13589 | instead of vc-checkout-model. | |
13590 | * vc-mcvs.el (vc-mcvs-revert): | |
13591 | Use vc-mcvs-checkout-model i.s.o vc-checkout-model. | |
13592 | * vc-cvs.el (vc-cvs-checkout-model): Adjust arg. | |
13593 | (vc-cvs-revert): Use vc-cvs-checkout-model i.s.o vc-checkout-model. | |
13594 | * vc-svn.el (vc-svn-checkout-model): | |
13595 | * vc-hg.el (vc-hg-checkout-model): | |
13596 | * vc-git.el (vc-git-checkout-model): | |
13597 | * vc-bzr.el (vc-bzr-checkout-model): Adjust arg. | |
13598 | ||
13599 | * dired.el (dired-read-dir-and-switches): Replace last change with | |
13600 | a new approach that mixes read-file-name and read-directory-name. | |
13601 | ||
13602 | * files.el (read-buffer-to-switch): | |
13603 | Avoid making assumptions about `other-buffer'. | |
13604 | ||
13605 | 2008-04-29 Sam Steingold <sds@gnu.org> | |
13606 | ||
13607 | * vc.el (vc-dir-mode-hook): Add normal hook. | |
13608 | (vc-dir-mode): Run it. | |
13609 | ||
13610 | 2008-04-29 Nick Roberts <nickrob@snap.net.nz> | |
13611 | ||
13612 | * progmodes/gdb-ui.el (gdb-display-buffer): Don't pop up GUD buffer. | |
13613 | Always split windows. | |
13614 | (gdb-speedbar-timer-fn): Only raise frame after user input. | |
13615 | (gdb-same-frame): Reverse initial value. | |
13616 | (gdb-display-gdb-buffer): Check for GUD buffer in other frames. | |
13617 | ||
13618 | * progmodes/gud.el (gud-speedbar-buttons): Raise frame in | |
13619 | gdb-speedbar-timer-fn. | |
13620 | ||
13621 | 2008-04-29 Stefan Monnier <monnier@iro.umontreal.ca> | |
13622 | ||
13623 | * uniquify.el (uniquify-rationalize-a-list): Beware of side-effects. | |
13624 | ||
13625 | 2008-04-29 Daiki Ueno <ueno@unixuser.org> | |
13626 | ||
13627 | * epa.el (epa-key-list-mode-map): Add menu. | |
13628 | (epa-delete-keys, epa-import-keys): Fix typo. | |
13629 | ||
13630 | 2008-04-29 Glenn Morris <rgm@gnu.org> | |
13631 | ||
13632 | * find-cmd.el (top-level): Does not need cl when compiling. | |
13633 | ||
f0131492 | 13634 | 2008-04-29 Philip Jackson <phil@shellarchive.co.uk> |
e3d51b27 MR |
13635 | |
13636 | * find-cmd.el: New file. | |
13637 | ||
13638 | 2008-04-29 Stefan Monnier <monnier@iro.umontreal.ca> | |
13639 | ||
13640 | * minibuffer.el (completion-hilit-commonality): Remove leftover code. | |
13641 | (completion-pcm--pattern->regex): Let `group' be a list of symbols. | |
13642 | (completion-pcm--hilit-commonality): New function. | |
13643 | (completion-pcm-all-completions): Use it. | |
13644 | ||
13645 | * minibuffer.el (completion-common-substring): Mark obsolete. | |
13646 | (completions-first-difference, completions-common-part): | |
13647 | Move from simple.el. | |
13648 | (completion-hilit-commonality): New fun. | |
13649 | (display-completion-list, completion-emacs21-all-completions) | |
13650 | (completion-emacs22-all-completions): Use it. | |
13651 | * simple.el (completions-first-difference, completions-common-part): | |
13652 | Move to minibuffer.el. | |
13653 | (choose-completion-string): Use field functions and minibufferp. | |
13654 | (completion-setup-function): Don't set completions faces. | |
13655 | ||
13656 | 2008-04-29 Glenn Morris <rgm@gnu.org> | |
13657 | ||
13658 | * calendar/calendar.el (calendar-nth-named-absday) | |
13659 | (calendar-nth-named-day): | |
13660 | * calendar/diary-lib.el (diary-list-sexp-entries, diary-float): | |
13661 | * calendar/holidays.el (holiday-float): Doc fixes. | |
13662 | ||
13663 | * emacs-lisp/check-declare.el (check-declare-errmsg): Fix counting in | |
13664 | the `full' case. | |
13665 | ||
13666 | * org/org-agenda.el (calendar-iso-from-absolute): | |
13667 | * org/org.el (calendar-absolute-from-iso, calendar-iso-from-absolute): | |
13668 | Fix declarations. | |
13669 | ||
13670 | 2008-04-28 Nick Roberts <nickrob@snap.net.nz> | |
13671 | ||
13672 | * progmodes/gdb-ui.el (gdb-info-breakpoints-custom): Make breakpoint | |
13673 | icons work for assembler, i.e. FILE.s, FILE.S (not disassembly). | |
13674 | (gdb-goto-breakpoint): Likewise for visiting breakpoints. | |
13675 | (gdb-assembler-custom): Be careful not to match other addresses. | |
13676 | ||
13677 | 2008-04-29 Jason Rumney <jasonr@gnu.org> | |
13678 | ||
13679 | * battery.el (battery-status-function): Use w32-battery-status | |
13680 | on Windows. | |
13681 | (battery-echo-area-format): Make apm format the general case. | |
13682 | (battery-mode-line-format): Merge apm and pmset formats as the | |
13683 | general case. | |
13684 | ||
13685 | 2008-04-29 Nick Roberts <nickrob@snap.net.nz> | |
13686 | ||
13687 | * progmodes/gdb-ui.el (gdb-info-stack-custom): | |
13688 | Use gud-tool-bar-item-visible-no-fringe. | |
13689 | (gdb-display-buffer): Don't pop new buffer if gud-comint-buffer | |
13690 | is already visible in frame. Remove optional size parameter | |
13691 | and add optional frame parameter. | |
13692 | ||
13693 | * progmodes/gud.el (gud-display-line): Only consider visible | |
13694 | frames when looking for source buffer. | |
13695 | ||
13696 | 2008-04-28 Chong Yidong <cyd@stupidchicken.com> | |
13697 | ||
13698 | * doc-view.el (doc-view-new-window-function): Avoid using WINDOW | |
13699 | argument to get-char-property, in case the current buffer hasn't | |
13700 | been assigned to that window yet. | |
13701 | (doc-view-display): Default to selected window if the current | |
13702 | buffer hasn't been assigned to a window yet. | |
13703 | ||
13704 | 2008-04-28 Vinicius Jose Latorre <bzg@altern.org> | |
13705 | ||
13706 | * whitespace.el (whitespace-trailing-regexp): Fix docstring. | |
13707 | ||
13708 | 2008-04-28 Michael Albinus <michael.albinus@gmx.de> | |
13709 | ||
13710 | * net/tramp.el (tramp-mode): New defcustom. | |
13711 | (tramp-file-name-handler, tramp-completion-file-name-handler): | |
13712 | Use it. | |
13713 | (tramp-replace-environment-variables): Handle "$$". | |
13714 | ||
13715 | 2008-04-28 Sam Steingold <sds@gnu.org> | |
13716 | ||
13717 | * vc-hg.el (vc-hg-rename-file): Fix argument order. | |
13718 | ||
13719 | 2008-04-28 Bastien Guerry <bzg@altern.org> | |
13720 | ||
13721 | * whitespace.el (whitespace-trailing): Fix typo. | |
13722 | ||
13723 | 2008-04-28 Sam Steingold <sds@gnu.org> | |
13724 | ||
13725 | * textmodes/remember.el (diary-make-entry): Update the autoload. | |
13726 | ||
13727 | 2008-04-28 Stefan Monnier <monnier@iro.umontreal.ca> | |
13728 | ||
13729 | * minibuffer.el (completion-pcm--all-completions): Don't pass nil to | |
13730 | all-completions. | |
13731 | ||
13732 | 2008-04-28 Juanma Barranquero <lekktu@gmail.com> | |
13733 | ||
13734 | * desktop.el (desktop-minor-mode-table): Add `savehist-mode'. | |
13735 | ||
13736 | 2008-04-27 Dan Nicolaescu <dann@ics.uci.edu> | |
13737 | ||
13738 | * vc.el (vc-dir-mode-map): Change bindings for unmark all and revert. | |
13739 | (vc-dir-parent-marked-p, vc-dir-children-marked-p): Implement. | |
13740 | (vc-dir-mark-file): Add an optional argument. | |
13741 | (vc-dir-mark-all-files, vc-dir-unmark-all-files): Deal with directories. | |
13742 | ||
13743 | 2008-04-27 Daiki Ueno <ueno@unixuser.org> | |
13744 | ||
13745 | * epa-file.el (epa-file-enable, epa-file-disable): Use find-file-hook | |
13746 | rather than obsolete alias find-file-hooks. | |
13747 | (auto-encryption-mode): Rename from epa-file-mode. Default to on. | |
13748 | Use find-file-hook rather than obsolete alias find-file-hooks. | |
13749 | Add to find-file-not-found-functions. | |
13750 | (epa-file-handler): Put 'safe-magic and 'operations properties. | |
13751 | ||
13752 | * epa.el (epa-global-minor-modes, epa-mode, epa-menu) | |
13753 | (epa-menu-items): Remove. | |
13754 | ||
13755 | * epa-dired.el (epa-dired-do-decrypt, epa-dired-do-verify) | |
13756 | (epa-dired-do-sign, epa-dired-do-encrypt): Add autoload cookie. | |
13757 | (epa-dired-mode, epa-global-dired-mode): Remove. | |
13758 | ||
13759 | * dired.el (dired-mode-map): Bind encryption/decryption commands. | |
13760 | ||
13761 | * menu-bar.el (menu-bar-encryption-decryption-menu): New menu item. | |
13762 | ||
13763 | * files.el (insert-file-contents-literally): Inhibit epa-file-handler. | |
13764 | ||
13765 | 2008-04-27 Glenn Morris <rgm@gnu.org> | |
13766 | ||
13767 | * textmodes/reftex-global.el (reftex-isearch-switch-to-next-file): | |
13768 | Remove un-needed local `orig-list'. Replace cl `copy-list'. | |
13769 | ||
13770 | 2008-04-27 Carsten Dominik <dominik@science.uva.nl> | |
13771 | ||
13772 | * org/org.el (org-html-level-start): Always have id's in HTML. | |
13773 | (org-export-as-html): Use `org-link-protocols' to | |
13774 | retrieve the export form of the link. | |
13775 | (org-add-link-type): Final parameter renamed from PUBLISH. | |
13776 | Better documentation of how it is to be used. Avoid double entries for | |
13777 | the same link type. | |
13778 | (org-add-link-props): New function. | |
13779 | (org-modules-loaded): New variable. | |
13780 | (org-load-modules-maybe, org-set-modules): New function. | |
13781 | (org-modules): New option. | |
13782 | (org-mode, org-cycle, orgstruct-mode, org-run-like-in-org-mode) | |
13783 | (orgtbl-mode, org-store-link, org-insert-link-global) | |
13784 | (org-open-at-point): Call `org-load-modules-maybe'. | |
13785 | (org-search-view): Add more text properties. | |
13786 | (org-agenda-schedule, org-agenda-deadline): Allow also in | |
13787 | search-type agendas. | |
13788 | (org-search-view): Order of arguments has been changed. | |
13789 | Interpret prefix-arg as TODO-ONLY. | |
13790 | (org-agenda, org-run-agenda-series, org-agenda-manipulate-query): | |
13791 | Take new argument order of `org-search-view' into account. | |
13792 | (org-todo-only): New variable. | |
13793 | (org-search-syntax-table): New variable and function. | |
13794 | (org-search-view): Do the search with the special syntax table. | |
13795 | (define-obsolete-function-alias): Make work with XEmacs. | |
13796 | (org-add-planning-info): Use old date as default when modifying an | |
13797 | existing deadline or scheduled item. | |
13798 | (org-agenda-compute-time-span): Make argument N optional. | |
13799 | (org-agenda-format-date-aligned): Require `cal-iso'. | |
13800 | (org-agenda-list): Include week into agenda heading, don't | |
13801 | list it at each date (only on Mondays). | |
13802 | (org-read-date-analyze): Define local variable `iso-date'. | |
13803 | (org-agenda-format-date-aligned): Remove dependency on | |
13804 | `calendar-time-from-absolute'. | |
13805 | (org-remember-apply-template, org-go-to-remember-target): | |
13806 | Interpret filenames relative to `org-directory'. | |
13807 | (org-complete): Silently fail when trying to complete | |
13808 | keywords that don't have a default value. | |
13809 | (org-get-current-options): Add a #+DATE: option. | |
13810 | (org-additional-option-like-keywords): Remove "DATE:" from the | |
13811 | list of additional keywords. | |
13812 | (org-export-as-html): Remove (current-time) as unnecessary second | |
13813 | argument of `format-time-string'. | |
13814 | (org-clock-find-position): Handle special case at end of buffer. | |
13815 | (org-agenda-day-view): New argument DAY-OF-YEAR, pass it on to | |
13816 | `org-agenda-change-time-span'. | |
13817 | (org-agenda-week-view): New argument ISO-WEEK, pass it on to | |
13818 | `org-agenda-change-time-span'. | |
13819 | (org-agenda-month-view): New argument MONTH, pass it on to | |
13820 | `org-agenda-change-time-span'. | |
13821 | (org-agenda-year-view): New argument YEAR, pass it on to | |
13822 | `org-agenda-change-time-span'. | |
13823 | (org-agenda-change-time-span): New optional argument N, pass it on | |
13824 | to `org-agenda-compute-time-span'. | |
13825 | (org-agenda-compute-time-span): New argument N, interpret it by | |
13826 | changing the starting day. | |
13827 | (org-small-year-to-year): New function. | |
13828 | (org-scheduled-past-days): Respect `org-scheduled-past-days'. | |
13829 | (org-auto-repeat-maybe): Make sure that repeating dates are pushed | |
13830 | into the future, and that the shift is at least one interval, never 0. | |
13831 | (org-update-checkbox-count): Fix bug with checkbox counting. | |
13832 | (org-add-note): New command. | |
13833 | (org-add-log-setup): Rename from `org-add-log-maybe'. | |
13834 | (org-log-note-headings): New entry for plain notes (i.e. notes not | |
13835 | related to state changes or clocking). | |
13836 | (org-get-org-file): Check for availability of `remember-data-file'. | |
13837 | (org-cached-entry-get): Allow a regexp value for | |
13838 | `org-use-property-inheritance'. | |
13839 | (org-use-property-inheritance): Allow regexp value. Fix bug in | |
13840 | customization type. | |
13841 | (org-use-tag-inheritance): Allow a list and a regexp value for | |
13842 | this variable. | |
13843 | (org-scan-tags, org-get-tags-at): Implement selective tag inheritance. | |
13844 | (org-entry-get): Respect value `selective' for the INHERIT argument. | |
13845 | (org-tag-inherit-p, org-property-inherit-p): New functions. | |
13846 | (org-agenda-format-date-aligned): Allow 10 characters for | |
13847 | weekday, to accommodate German locale. | |
13848 | (org-add-archive-files): New function. | |
13849 | (org-agenda-files): New argument `ext', to get archive files as well. | |
13850 | (org-tbl-menu): Protect the use of variables that | |
13851 | are only available when org-table.el gets loaded. | |
13852 | (org-read-agenda-file-list): Error if `org-agenda-files' is a | |
13853 | single directory. | |
13854 | (org-open-file): Allow a batch process to trigger | |
13855 | waiting after executing a system command. | |
13856 | (org-store-link): Link to headline when there is not | |
13857 | target and no region in an org-mode buffer when creating a link. | |
13858 | (org-link-types-re): New variable. | |
13859 | (org-make-link-regexps): Compute `org-link-types-re'. | |
13860 | (org-make-link-description-function): New option. | |
13861 | (org-agenda-date, org-agenda-date-weekend): New faces. | |
13862 | (org-archive-sibling-heading): New option. | |
13863 | (org-archive-to-archive-sibling): New function. | |
13864 | (org-iswitchb): New command. | |
13865 | (org-buffer-list): New function. | |
13866 | (org-agenda-columns): Also try the #+COLUMNS line in | |
13867 | the buffer associated with the entry at point (or with the first | |
13868 | entry in the agenda view). | |
13869 | (org-modules): Add entry for org-bibtex.el. | |
13870 | (org-completion-fallback-command): Move into `org-completion' group. | |
13871 | (org-clock-heading-function): Move to `org-progress' group. | |
13872 | (org-auto-repeat-maybe): Make sure that a note can | |
13873 | be enforces if `org-log-repeat' is `note'. | |
13874 | (org-modules): Allow additional symbols for external packages. | |
13875 | (org-ctrl-c-ctrl-c): Allow for `org-clock-overlays' to be undefined. | |
13876 | (org-clock-goto): Hide drawers after showing an | |
13877 | entry with `org-clock-goto'. | |
13878 | (org-shiftup, org-shiftdown, org-shiftright, org-shiftleft): | |
13879 | Try also a clocktable block shift. | |
13880 | (org-clocktable-try-shift): New function. | |
13881 | (org-columns-hscoll-title): New function. | |
13882 | (org-columns-previous-hscroll): New variable. | |
13883 | (org-columns-full-header-line-format): New variable. | |
13884 | (org-columns-display-here-title, org-columns-remove-overlays): | |
13885 | Install `org-columns-hscoll-title' in post-command-hook. | |
13886 | ||
13887 | * org/org.el: Split into many small files. | |
13888 | ||
13889 | * org/org-agenda.el: New file, split off from org.el. | |
13890 | ||
13891 | * org/org-archive.el: New file, split off from org.el. | |
13892 | ||
13893 | * org/org-bbdb.el: New file. | |
13894 | ||
13895 | * org/org-bibtex.el: New file, split off from org.el. | |
13896 | ||
13897 | * org/org-clock.el: New file, split off from org.el. | |
13898 | ||
13899 | * org/org-colview.el: New file, split off from org.el. | |
13900 | ||
13901 | * org/org-compat.el: New file, split off from org.el. | |
13902 | ||
13903 | * org/org-exp.el: New file, split off from org.el. | |
13904 | ||
13905 | * org/org-faces.el: New file, split off from org.el. | |
13906 | ||
13907 | * org/org-gnus.el: New file, split off from org.el. | |
13908 | ||
13909 | * org/org-info.el: New file, split off from org.el. | |
13910 | ||
13911 | * org/org-infojs.el: New file. | |
13912 | ||
13913 | * org/org-irc.el: New file. | |
13914 | ||
13915 | * org/org-macs.el: New file, split off from org.el. | |
13916 | ||
13917 | * org/org-mew.el: New file. | |
13918 | ||
13919 | * org/org-mhe.el: New file, split off from org.el. | |
13920 | ||
13921 | * org/org-publish.el: New file, split off from org.el. | |
13922 | ||
13923 | * org/org-remember.el: New file, split off from org.el. | |
13924 | ||
13925 | * org/org-rmail.el: New file, split off from org.el. | |
13926 | ||
13927 | * org/org-table.el: New file, split off from org.el. | |
13928 | ||
13929 | * org/org-vm.el: New file, split off from org.el. | |
13930 | ||
13931 | * org/org-wl.el: New file, split off from org.el. | |
13932 | ||
13933 | 2008-04-27 Jason Riedy <jason@acm.org> | |
13934 | ||
13935 | * org/org-table.el (orgtbl-to-generic): Add a :remove-nil-lines | |
13936 | parameter that suppresses lines that evaluate to NIL. | |
13937 | (orgtbl-get-fmt): New inline function for | |
13938 | picking apart formats that may be lists. | |
13939 | (orgtbl-apply-fmt): New inline function for applying formats that | |
13940 | may be functions. | |
13941 | (orgtbl-eval-str): New inline function for strings that may be | |
13942 | functions. | |
13943 | (orgtbl-format-line, orgtbl-to-generic): Use and document. | |
13944 | (orgtbl-to-latex, orgtbl-to-texinfo): Document. | |
13945 | (*orgtbl-llfmt*, *orgtbl-llstart*) | |
13946 | (*orgtbl-llend*): Dynamic variables for last-line formatting. | |
13947 | (orgtbl-format-section): Shift formatting to support detecting the | |
13948 | last line and formatting it specially. | |
13949 | (orgtbl-to-generic): Document :ll* formats. Set to the non-ll | |
13950 | formats unless overridden. | |
13951 | (orgtbl-to-latex): Suggest using :llend to suppress the final \\. | |
13952 | (*orgtbl-table*, *orgtbl-rtn*): Dynamically | |
13953 | bound variables to hold the input collection of lines and output | |
13954 | formatted text. | |
13955 | (*orgtbl-hline*, *orgtbl-sep*, *orgtbl-fmt*, *orgtbl-efmt*) | |
13956 | (*orgtbl-lfmt*, *orgtbl-lstart*, *orgtbl-lend*): Dynamically bound | |
13957 | format parameters. | |
13958 | (orgtbl-format-line): New function encapsulating formatting for a | |
13959 | single line. | |
13960 | (orgtbl-format-section): Similar for each section. Rebinding the | |
13961 | dynamic vars customizes the formatting for each section. | |
13962 | (orgtbl-to-generic): Use orgtbl-format-line and | |
13963 | orgtbl-format-section. | |
13964 | (org-get-param): Now unused, so delete. | |
13965 | (orgtbl-gather-send-defs): New function to | |
13966 | gather all the SEND definitions before a table. | |
13967 | (orgtbl-send-replace-tbl): New function to find the RECEIVE | |
13968 | corresponding to the current name. | |
13969 | (orgtbl-send-table): Use the previous two functions and implement | |
13970 | multiple destinations for each table. | |
13971 | ||
13972 | * doc/org.texi (A LaTeX example): Note that fmt may be a | |
13973 | one-argument function, and efmt may be a two-argument function. | |
13974 | (Radio tables): Document multiple destinations. | |
13975 | ||
13976 | 2008-04-27 Carsten Dominik <dominik@science.uva.nl> | |
13977 | ||
13978 | * org/org-agenda.el (org-add-to-diary-list): New function. | |
13979 | (org-prefix-has-effort): New variable. | |
13980 | (org-sort-agenda-noeffort-is-high): New option. | |
13981 | (org-agenda-columns-show-summaries) | |
13982 | (org-agenda-columns-compute-summary-properties): New options. | |
13983 | (org-format-agenda-item): Compute the duration of the item. | |
13984 | (org-agenda-weekend-days): New variable. | |
13985 | (org-agenda-list, org-timeline): Use the proper faces for dates in | |
13986 | the agenda and timeline buffers. | |
13987 | (org-agenda-archive-to-archive-sibling): New command. | |
13988 | (org-agenda-start-with-clockreport-mode): New option. | |
13989 | (org-agenda-clockreport-parameter-plist): New option. | |
13990 | (org-agenda-clocktable-mode): New variable. | |
13991 | (org-agenda-deadline-leaders): Allow a function value for the | |
13992 | deadline leader. | |
13993 | (org-agenda-get-deadlines): Deal with new function value. | |
13994 | ||
13995 | * org/org-clock.el (org-clock): New customization group. | |
13996 | (org-clock-into-drawer, org-clock-out-when-done) | |
13997 | (org-clock-in-switch-to-state, org-clock-heading-function): | |
13998 | Move into the new group. | |
13999 | (org-clock-out-remove-zero-time-clocks): New option. | |
14000 | (org-clock-out): Use `org-clock-out-remove-zero-time-clocks'. | |
14001 | (org-dblock-write:clocktable): Allow a Lisp form for the scope | |
14002 | parameter. | |
14003 | (org-dblock-write:clocktable): Fix bug with total time calculation. | |
14004 | (org-dblock-write:clocktable): Request the unrestricted list of files. | |
14005 | (org-get-clocktable): New function. | |
14006 | (org-dblock-write:clocktable): Make sure :tstart and :tend can not only | |
14007 | be strings but also integers (an absolute day number) and lists (m d y). | |
14008 | ||
14009 | * org/org-colview.el (org-columns-next-allowed-value) | |
14010 | (org-columns-edit-value): Limit the effort for updating in the | |
14011 | agenda to recomputing a single file. | |
14012 | (org-columns-compute): Only write property value if it has changed. | |
14013 | This avoids raising the buffer-change-flag unnecessarily. | |
14014 | (org-agenda-colview-summarize) | |
14015 | (org-agenda-colview-compute): New functions. | |
14016 | (org-agenda-columns): Call `org-agenda-colview-summarize'. | |
14017 | ||
14018 | * org/org-exp.el (org-export-run-in-background): New option. | |
14019 | (org-export-icalendar): Allow a batch process to trigger waiting | |
14020 | after executing a system command. | |
14021 | (org-export-preprocess-string): Rename from | |
14022 | `org-cleaned-string-for-export'. | |
14023 | (org-export-html-style): Made target class look like normal text. | |
14024 | (org-export-as-html): Make use of the better preprocessing in | |
14025 | `org-cleaned-string-for-export'. | |
14026 | (org-cleaned-string-for-export): Better treatment of heuristic | |
14027 | targets, many more internal links will now work in HTML export. | |
14028 | (org-get-current-options): Incorporate LINK_UP, LINK_HOME, and INFOJS. | |
14029 | (org-export-inbuffer-options-extra): New variable. | |
14030 | (org-export-options-filters): New hook. | |
14031 | (org-infile-export-plist): Find also the settings keywords in | |
14032 | `org-export-inbuffer-options-extra'. | |
14033 | (org-infile-export-plist): Allow multiple #+OPTIONS lines and | |
14034 | multiple #+INFOJS_OPT lines. | |
14035 | (org-export-html-handle-js-options): New function. | |
14036 | (org-export-html-infojs-setup): New option. | |
14037 | (org-export-as-html): Call `org-export-html-handle-js-options'. | |
14038 | Add autoload to all entry points. | |
14039 | (org-skip-comments): Function removed. | |
14040 | ||
14041 | * org/org-table.el (org-table-make-reference): Extra parenthesis | |
14042 | around single fields, to make sure that algebraic formulas get | |
14043 | correctly interpreted by calc. | |
14044 | (org-table-current-column): No longer interactive. | |
14045 | ||
14046 | * org/org-export-latex.el (org-export-latex-preprocess): | |
14047 | Rename from `org-export-latex-cleaned-string'. | |
14048 | ||
14049 | 2008-04-27 Bastien Guerry <bzg@altern.org> | |
14050 | ||
14051 | * org/org-publish.el (org-publish-get-base-files-1): New function. | |
14052 | (org-publish-get-base-files): Use it. | |
14053 | (org-publish-temp-files): New variable. | |
14054 | Don't require 'dired-aux anymore. | |
14055 | (org-publish-initial-buffer): New variable. | |
14056 | (org-publish-org-to, org-publish): Use it. | |
14057 | (org-publish-get-base-files-1): Bug fix: get | |
14058 | the proper list of files when recursing thru a directory. | |
14059 | (org-publish-get-base-files): Use the :exclude property to skip | |
14060 | both files and directories. | |
14061 | ||
14062 | 2008-04-27 Michael Albinus <michael.albinus@gmx.de> | |
14063 | ||
14064 | * net/tramp.el (tramp-handle-make-symbolic-link) | |
14065 | (tramp-handle-file-name-directory) | |
14066 | (tramp-handle-file-name-nondirectory, tramp-handle-file-truename) | |
14067 | (tramp-do-copy-or-rename-file-directly) | |
14068 | (tramp-handle-insert-directory, tramp-handle-expand-file-name) | |
14069 | (tramp-handle-substitute-in-file-name) | |
14070 | (tramp-handle-insert-file-contents, tramp-handle-write-region) | |
14071 | * net/tramp-cache.el (tramp-get-file-property) | |
14072 | (tramp-set-file-property, tramp-flush-file-property) | |
14073 | (tramp-flush-directory-property) | |
14074 | * net/tramp-compat.el (tramp-compat-make-temp-file) | |
14075 | * net/tramp-fish.el (tramp-fish-handle-expand-file-name): | |
14076 | Disable `file-name-handler-alist' when handling localname. | |
14077 | It could have a remote file syntax, like a VMS file name. | |
14078 | ||
14079 | 2008-04-27 Vinicius Jose Latorre <viniciusjl@ig.com.br> | |
14080 | ||
14081 | * whitespace.el: New version 11.1. | |
14082 | (whitespace-trailing-regexp): Option fix, now trailing regexp must be | |
14083 | enclosed by \\( and \\)$. Docstring fix. | |
14084 | (whitespace-trailing-regexp): Fun removed. | |
14085 | (whitespace-report-list): Const initialization fix. | |
14086 | (whitespace-color-on): Code fix. | |
14087 | ||
14088 | 2008-04-27 Andreas Schwab <schwab@suse.de> | |
14089 | ||
14090 | * Makefile.el: Unbreak bootstrap. | |
14091 | ||
14092 | 2008-04-27 Michael Albinus <michael.albinus@gmx.de> | |
14093 | ||
14094 | * net/tramp.el (tramp-replace-environment-variables): New defun. | |
14095 | (tramp-handle-substitute-in-file-name, tramp-file-name-handler): | |
14096 | Use it. | |
14097 | ||
14098 | 2008-04-27 Glenn Morris <rgm@gnu.org> | |
14099 | ||
14100 | * emacs-lisp/bytecomp.el (byte-compile-file): Doc fix. | |
14101 | ||
14102 | * calculator.el (calculator-expt): Replace cl function `oddp'. | |
14103 | ||
14104 | 2008-04-27 Johan Bockgård <bojohan@gnu.org> | |
14105 | ||
14106 | * emacs-lisp/bytecomp.el (byte-compile-find-cl-functions): | |
14107 | Simplify. Collect `defun' and `autoload' entries. | |
14108 | Avoid modifying load-history. | |
14109 | ||
14110 | 2008-04-26 Glenn Morris <rgm@gnu.org> | |
14111 | ||
14112 | * textmodes/ispell.el (ispell-insert-word): Revert previous change. | |
14113 | ||
14114 | * simple.el (quoted-insert, zap-to-char): Revert previous change. | |
14115 | ||
14116 | 2008-04-26 John Paul Wallington <jpw@pobox.com> | |
14117 | ||
14118 | * hexl.el (hexl-mode): Stash `eldoc-documentation-function' in | |
14119 | `hexl-mode-old-eldoc-documentation-function'. | |
14120 | (hexl-mode-exit): Restore it. | |
14121 | (hexl-mode-old-eldoc-documentation-function): Declare for compiler. | |
14122 | ||
14123 | * w32-fns.el (top-level): Don't set `completion-ignore-case' to t. | |
14124 | ||
14125 | 2008-04-26 Juanma Barranquero <lekktu@gmail.com> | |
14126 | ||
14127 | * minibuffer.el (completion-pcm-word-delimiters): Add :group. | |
14128 | (completion-pcm--all-completions): Doc fix. | |
14129 | (completion-styles-alist, completion-all-completions): | |
14130 | Fix typos in docstrings. | |
14131 | ||
14132 | 2008-04-26 Vinicius Jose Latorre <viniciusjl@ig.com.br> | |
14133 | ||
14134 | * whitespace.el: There is now only one variable (whitespace-style) to | |
14135 | specify which kind of blank is visualized. Doc and docstring fix. | |
14136 | New version 11.0. | |
14137 | (whitespace-style): New option, replace whitespace-style-mark and | |
14138 | whitespace-style-color. | |
14139 | (whitespace-style-mark, whitespace-style-color): Options removed. | |
14140 | (whitespace-hspace, whitespace-tab, whitespace-newline) | |
14141 | (whitespace-trailing, whitespace-line, whitespace-space-before-tab) | |
14142 | (whitespace-indentation, whitespace-empty, whitespace-space-after-tab) | |
14143 | (whitespace-hspace-regexp, whitespace-space-regexp) | |
14144 | (whitespace-tab-regexp, whitespace-trailing-regexp) | |
14145 | (whitespace-space-before-tab-regexp, whitespace-indentation-regexp) | |
14146 | (whitespace-empty-at-bob-regexp, whitespace-empty-at-eob-regexp) | |
14147 | (whitespace-space-after-tab-regexp, whitespace-line-column) | |
14148 | (whitespace-display-mappings, whitespace-report): Docstring fix. | |
14149 | (whitespace-color-value-list, whitespace-mark-value-list) | |
14150 | (whitespace-active-color, whitespace-active-mark) | |
14151 | (whitespace-toggle-color, whitespace-toggle-mark): Vars removed. | |
14152 | (whitespace-style-value-list, whitespace-active-style) | |
14153 | (whitespace-toggle-style): New vars. | |
14154 | (whitespace-toggle-option-alist, whitespace-help-text): | |
14155 | Var initialization and docstring fix. | |
14156 | (whitespace-toggle-options, global-whitespace-toggle-options) | |
14157 | (whitespace-cleanup, whitespace-cleanup-region) | |
14158 | (whitespace-report-region, whitespace-interactive-char) | |
14159 | (whitespace-toggle-list): Docstring and code fix. | |
14160 | (whitespace-insert-option-mark, whitespace-help-on, whitespace-turn-on) | |
14161 | (whitespace-turn-off, whitespace-color-on, whitespace-color-off) | |
14162 | (whitespace-display-char-on, whitespace-display-char-off): Code fix. | |
14163 | (whitespace-style-face-p, whitespace-style-mark-p): New fun. | |
14164 | ||
14165 | 2008-04-26 Stefan Monnier <monnier@iro.umontreal.ca> | |
14166 | ||
14167 | * diff-mode.el (diff-hunk-header-re-unified): Allow elided line counts. | |
14168 | (diff-end-of-hunk, diff-unified->context, diff-fixup-modifs) | |
14169 | (diff-sanity-check-hunk): Adjust code accordingly. | |
14170 | ||
14171 | 2008-04-26 Glenn Morris <rgm@gnu.org> | |
14172 | ||
14173 | * abbrev.el (define-abbrev): Don't use `iff' in doc-strings. | |
14174 | ||
14175 | * forms-d2.dat: Move to ../etc. | |
14176 | * forms-d2.el (forms-file): Adapt for above change. | |
14177 | ||
14178 | * simple.el (quoted-insert, zap-to-char): Remove uses of obsolete | |
14179 | `translation-table-for-input'. | |
14180 | ||
14181 | * emacs-lisp/bytecomp.el (byte-compile-warn-obsolete): New function. | |
14182 | (byte-compile-obsolete, byte-compile-variable-ref): Use it. | |
14183 | ||
14184 | * progmodes/fortran.el (fortran-mode-syntax-table): Change `;' | |
14185 | to punctuation now it's not needed for abbrevs. | |
14186 | (fortran-mode-abbrev-table): Remove defvar, make use of new | |
14187 | define-abbrev-table :regexp feature. | |
14188 | ||
14189 | * textmodes/ispell.el (ispell-insert-word): Remove, and replace with | |
14190 | insert, now that translation-table-for-input is not needed. | |
14191 | ||
14192 | 2008-04-26 Johannes Weiner <hannes@saeurebad.de> | |
14193 | ||
14194 | * emacs-lisp/pp.el (pp-display-expression): New function, | |
14195 | extracted from pp-eval-expression. | |
14196 | (pp-eval-expression): Use pp-display-expression. | |
14197 | (pp-macroexpand-expression, pp-macroexpand-last-sexp): New functions. | |
14198 | (pp-last-sexp): New function, extracted from pp-eval-last-sexp. | |
14199 | (pp-eval-last-sexp): Use pp-last-sexp. | |
14200 | ||
14201 | 2008-04-26 Stefan Monnier <monnier@iro.umontreal.ca> | |
14202 | ||
14203 | * abbrev.el (define-abbrev-table): Apply props even if the table | |
14204 | exists already. | |
14205 | ||
14206 | * minibuffer.el (completion-table-with-context): Fix `pred' for the | |
14207 | various kinds of completion tables. | |
14208 | (completion-emacs22-try-completion): Place cursor after the /, as was | |
14209 | done in Emacs-22's minibuffer-complete-word. | |
14210 | Fix bug reported by David Hansen <david.hansen@gmx.net>. | |
14211 | (completion-emacs22-try-completion): Merge all mergeable text rather | |
14212 | than just /. | |
14213 | (completion-pcm--delim-wild-regex): New var. | |
14214 | (completion-pcm-word-delimiters): New custom. | |
14215 | (completion-pcm--prepare-delim-re, completion-pcm--pattern-trivial-p) | |
14216 | (completion-pcm--string->pattern, completion-pcm--pattern->regex) | |
14217 | (completion-pcm--all-completions, completion-pcm-all-completions) | |
14218 | (completion-pcm--merge-completions, completion-pcm--pattern->string) | |
14219 | (completion-pcm-try-completion): New functions. | |
14220 | (completion-styles-alist): Add them. | |
14221 | (completion-styles): Add it to the default. | |
14222 | ||
14223 | 2008-04-25 Nick Roberts <nickrob@snap.net.nz> | |
14224 | ||
14225 | * progmodes/gdb-ui.el (gud-watch): Don't create speedbar... | |
14226 | (gdb-var-create-handler): ...until here when there are values. | |
14227 | (gdb-post-prompt): Don't do -var-update with no watch expressions. | |
14228 | (gdb-info-locals-handler): Don't match "struct {...}" as an array. | |
14229 | ||
14230 | 2008-04-25 Eli Zaretskii <eliz@gnu.org> | |
14231 | ||
14232 | * ls-lisp.el (ls-lisp-format): Fix last change. | |
14233 | (ls-lisp-uid-d-fmt, ls-lisp-uid-s-fmt, ls-lisp-gid-d-fmt) | |
14234 | (ls-lisp-gid-s-fmt, ls-lisp-filesize-d-fmt) | |
14235 | (ls-lisp-filesize-f-fmt): New defvars. | |
14236 | (ls-lisp-insert-directory): Dynamically compute format specifiers | |
14237 | for displaying UID, GID, and file size, and store them in the | |
14238 | above variables. | |
14239 | (ls-lisp-format): Use ls-lisp-filesize-f-fmt, ls-lisp-uid-s-fmt, | |
14240 | ls-lisp-uid-d-fmt, ls-lisp-gid-s-fmt, and ls-lisp-gid-d-fmt | |
14241 | instead of constant format strings. | |
14242 | (ls-lisp-format-file-size): Use ls-lisp-filesize-f-fmt and | |
14243 | ls-lisp-filesize-d-fmt instead of constant format strings. | |
14244 | ||
14245 | 2008-04-24 Nick Roberts <nickrob@snap.net.nz> | |
14246 | ||
14247 | * progmodes/gdb-ui.el (gdb-invalidate-assembler): Compare numeric | |
14248 | value of addresses rather than (partial) string value. | |
14249 | (gdb-frame-handler): Change regexp according to above change. | |
14250 | (gdb-breakpoints-mode, gdb-frames-mode, gdb-threads-mode) | |
14251 | (gdb-registers-mode, gdb-memory-mode, gdb-locals-mode) | |
14252 | (gdb-assembler-mode): Disable undo in these buffers. | |
14253 | ||
14254 | 2008-04-24 Michael Albinus <michael.albinus@gmx.de> | |
14255 | ||
14256 | * net/tramp.el (tramp-get-remote-stat): Test whether stat supports %s. | |
14257 | Reported by Loris Bennett <loris.bennett@fu-berlin.de>. | |
14258 | ||
14259 | 2008-04-24 Sam Steingold <sds@gnu.org> | |
14260 | ||
14261 | * textmodes/remember.el (remember-diary-extract-entries): Use | |
14262 | diary-make-entry instead of the obsolete make-diary-entry. | |
14263 | ||
14264 | 2008-04-24 Stefan Monnier <monnier@iro.umontreal.ca> | |
14265 | ||
14266 | * subr.el (translation-table-for-input): Mark as obsolete. | |
14267 | ||
14268 | * isearch.el (isearch-search-string): Avoid string-bytes and aset. | |
14269 | ||
14270 | * international/quail.el (quail-build-decode-map): Avoid string-bytes. | |
14271 | ||
14272 | * textmodes/ispell.el (ispell-dictionary-alist-1) | |
14273 | (ispell-dictionary-alist-2, ispell-dictionary-alist-3) | |
14274 | (ispell-dictionary-alist-4, ispell-dictionary-alist-5) | |
14275 | (ispell-dictionary-alist-6): Remove. | |
14276 | (ispell-dictionary-base-alist): New var, merges the above. | |
14277 | (ispell-find-aspell-dictionaries, ispell-set-spellchecker-params): | |
14278 | Use it. | |
14279 | (ispell-dictionary-alist): Default to nil. Remove autoload. | |
14280 | (ispell-local-dictionary-alist): Remove autoload. | |
14281 | ||
14282 | * progmodes/f90.el (f90-mode-syntax-table): Don't set ` as word syntax. | |
14283 | (f90-mode-abbrev-table): Use the new :regexp feature. | |
14284 | Merge defvar and mapc into define-abbrev-table. | |
14285 | (f90-imenu-type-matcher): Remove unused `l'. | |
14286 | (f90-imenu-generic-expression): Remove unused `not-ib'. | |
14287 | (f90-prepare-abbrev-list-buffer): Use with-current-buffer. | |
14288 | (f90-change-keywords): Use restore-buffer-modified-p. | |
14289 | ||
14290 | 2008-04-24 Glenn Morris <rgm@gnu.org> | |
14291 | ||
14292 | * net/goto-addr.el (goto-address-prog-mode): | |
14293 | * progmodes/bug-reference.el (bug-reference-prog-mode): | |
14294 | Define for compiler. | |
14295 | ||
14296 | * minibuffer.el (x-file-dialog): Declare as function. | |
14297 | ||
14298 | * progmodes/vhdl-mode.el (vhdl-speedbar-find-file): Use | |
14299 | dframe-update-speed rather than obsolete alias speedbar-update-speed. | |
14300 | ||
14301 | * calendar/cal-menu.el (cal-menu-diary-menu): Fix typo. | |
14302 | (cal-menu-scroll-menu): Use commands rather than key macros, which don't | |
14303 | work with easymenu. Add :keys where needed. | |
14304 | ||
14305 | * calendar/cal-move.el (calendar-scroll-left): Handle case when | |
14306 | event-start is nil. | |
14307 | ||
14308 | * calendar/calendar.el (calendar-mode-map): Fix typo. | |
14309 | ||
14310 | 2008-04-24 Tom Tromey <tromey@redhat.com> | |
14311 | ||
14312 | * emacs-lisp/easy-mmode.el (easy-mmode-define-keymap): | |
14313 | Document keywords. Add :suppress. | |
14314 | * pcvs-defs.el (cvs-mode-map): Use :suppress. | |
14315 | ||
14316 | * net/goto-addr.el (goto-address-unfontify): New function. | |
14317 | (goto-address-fontify): Use it. Respect goto-address-prog-mode. | |
14318 | (goto-address-fontify-region, goto-address-mode) | |
14319 | (goto-address-prog-mode): New functions. | |
14320 | ||
14321 | * progmodes/bug-reference.el: New file. | |
14322 | ||
14323 | 2008-04-24 YAMAMOTO Mitsuharu <mituharu@math.s.chiba-u.ac.jp> | |
14324 | ||
14325 | * term/mac-win.el (mac-ts-active-input-buf): Move defvar to macterm.c. | |
14326 | ||
14327 | 2008-04-24 Stefan Monnier <monnier@iro.umontreal.ca> | |
14328 | ||
14329 | * emacs-lisp/easymenu.el (easy-menu-make-symbol): Don't wrap keyboard | |
14330 | macros within lambdas. | |
14331 | ||
14332 | 2008-04-23 Stefan Monnier <monnier@iro.umontreal.ca> | |
14333 | ||
14334 | * minibuffer.el (completion-try-completion): Add `point' argument. | |
14335 | Change return value. | |
14336 | (completion-all-completions): Add `point' argument. | |
14337 | (minibuffer-completion-help): Pass the new `point' argument. | |
14338 | (completion--do-completion): Pass the whole field to try-completion. | |
14339 | (completion--try-word-completion): Rewrite, making fewer assumptions. | |
14340 | (completion-emacs21-try-completion, completion-emacs21-all-completions) | |
14341 | (completion-emacs22-try-completion, completion-emacs22-all-completions) | |
14342 | (completion-basic-try-completion, completion-basic-all-completions): | |
14343 | New functions. | |
14344 | (completion-styles-alist): Use them. | |
14345 | ||
6c791387 | 14346 | 2008-04-23 Agustín Martín <agustin.martin@hispalinux.es> |
e3d51b27 MR |
14347 | |
14348 | * textmodes/ispell.el (ispell-set-spellchecker-params): | |
14349 | New function to make sure right params and dictionary alists are used | |
14350 | after spellchecker changes. | |
14351 | (ispell-aspell-dictionary-alist, ispell-last-program-name) | |
14352 | (ispell-initialize-spellchecker-hook): New variables and hook. | |
14353 | (ispell-find-aspell-dictionaries): Use ispell-aspell-dictionary-alist. | |
14354 | (ispell-maybe-find-aspell-dictionaries): Remove. | |
14355 | Calls replaced by (ispell-set-spellchecker-params) calls. | |
14356 | (ispell-have-aspell-dictionaries): Remove. | |
14357 | ||
14358 | * textmodes/flyspell.el: Replace ispell-maybe-find-aspell-dictionaries | |
14359 | by ispell-set-spellchecker-params. | |
14360 | ||
14361 | 2008-04-23 Stefan Monnier <monnier@iro.umontreal.ca> | |
14362 | ||
14363 | * complete.el (PC-do-completion): Be more robust in the presence of | |
14364 | unexpected values in minibuffer-completion-predicate. | |
14365 | ||
14366 | * minibuffer.el (read-file-name): Don't let-bind default-directory. | |
14367 | Only abbreviate default-filename if it's a file. | |
14368 | ||
14369 | * minibuffer.el (read-file-name-function, read-file-name-predicate) | |
14370 | (read-file-name-completion-ignore-case, insert-default-directory): | |
14371 | New vars, moved from fileio.c. | |
14372 | (read-file-name): New fun, moved from fileio.c. | |
14373 | * cus-start.el: Remove insert-default-directory and | |
14374 | read-file-name-completion-ignore-case. | |
14375 | ||
14376 | 2008-04-23 Magnus Henoch <mange@freemail.hu> | |
14377 | ||
14378 | * tar-mode.el (tar-untar-buffer): If the entry has directory | |
14379 | link type, extract it as a directory even if its file name doesn't | |
14380 | end with a slash. Fixes extraction of NetBSD tar archives. | |
14381 | ||
14382 | 2008-04-23 Stefan Monnier <monnier@iro.umontreal.ca> | |
14383 | ||
14384 | * server.el (server-start): Also don't get confused by CRs since we | |
14385 | don't quote them. | |
14386 | ||
14387 | 2008-04-23 Nick Roberts <nickrob@snap.net.nz> | |
14388 | ||
14389 | * progmodes/gdb-ui.el (gdb-init-buffer): New function. | |
14390 | (gdb-set-gud-minor-mode-existing-buffers) | |
14391 | (gdb-info-breakpoints-custom, gdb-get-location) | |
14392 | (gdb-set-gud-minor-mode-existing-buffers-1): Use it. | |
14393 | Previously gdb-create-define-alist wasn't always run and added to | |
14394 | after-save-hook. | |
14395 | ||
14396 | * progmodes/gud.el (gud-tooltip-tips): Use tooltip-event-buffer. | |
14397 | ||
14398 | 2008-04-23 Kevin Ryde <user42@zip.com.au> | |
14399 | ||
14400 | * progmodes/make-mode.el (makefile-fill-paragraph): Treat indented | |
14401 | comments like unindented ones. | |
14402 | ||
14403 | 2008-04-23 YAMAMOTO Mitsuharu <mituharu@math.s.chiba-u.ac.jp> | |
14404 | ||
14405 | * term/mac-win.el (mac-ae-open-documents): Adjust selection range | |
14406 | parameter origins. | |
14407 | ||
14408 | 2008-04-23 Kevin Ryde <user42@zip.com.au> | |
14409 | ||
14410 | * emacs-lisp/autoload.el (autoload-generate-file-autoloads): | |
14411 | Bind print-level to ensure output forms aren't truncated if | |
14412 | print-level is set to eval-expression-print-level when going via | |
14413 | eval-defun and friends, or has been otherwise fiddled with. | |
14414 | ||
14415 | 2008-04-23 Stefan Monnier <monnier@iro.umontreal.ca> | |
14416 | ||
14417 | * progmodes/cc-mode.el (c-basic-common-init): Don't set | |
14418 | font-lock-extend-after-change-region-function globally. | |
14419 | ||
14420 | 2008-04-23 Juanma Barranquero <lekktu@gmail.com> | |
14421 | ||
14422 | * speedbar.el (speedbar-use-tool-tips-flag) | |
14423 | (speedbar-ignored-directory-regexp, speedbar-file-unshown-regexp) | |
14424 | (speedbar-file-regexp, speedbar-message, speedbar-item-info) | |
14425 | (speedbar-files-item-info, speedbar-maybe-add-localized-support) | |
14426 | (speedbar-insert-files-at-point, speedbar-dynamic-tags-function-list) | |
14427 | (speedbar-generic-list-positioned-group-p, speedbar-insert-generic-list) | |
14428 | (speedbar-fetch-replacement-function, speedbar-extract-one-symbol) | |
14429 | (speedbar-separator-face): Fix typos in docstrings. | |
14430 | (speedbar-stealthy-function-list, speedbar-verbosity-level) | |
14431 | (speedbar-supported-extension-expressions, speedbar-update-current-file) | |
14432 | (speedbar-add-indicator, speedbar-tag-expand): Reflow docstring. | |
14433 | (speedbar-use-imenu-flag, speedbar-ignored-directory-expressions) | |
14434 | (speedbar-directory-unshown-regexp, speedbar-handle-delete-frame) | |
14435 | (speedbar-show-info-under-mouse, speedbar-directory-buttons) | |
14436 | (speedbar-check-vc-this-line, speedbar-files-line-directory) | |
14437 | (speedbar-buffer-buttons, speedbar-buffer-buttons-temp) | |
14438 | (speedbar-buffers-line-directory, speedbar-recenter-to-top) | |
14439 | (speedbar-recenter): Doc fixes. | |
14440 | (speedbar-update-speed, speedbar-navigating-speed): Add obsolescence | |
14441 | declaration and remove redundant info in docstring. | |
14442 | ||
14443 | 2008-04-23 Dan Nicolaescu <dann@ics.uci.edu> | |
14444 | ||
14445 | * vc-git.el (vc-git-status-printer): Deal with directories. | |
14446 | ||
14447 | * vc.el (vc-next-action): Look at more than the first file to | |
14448 | determine the state. | |
14449 | ||
14450 | 2008-04-23 Glenn Morris <rgm@gnu.org> | |
14451 | ||
14452 | * dframe.el (dframe-have-timer-flag): Drop support for Emacs without | |
14453 | timers. Doc fix. | |
14454 | (dframe-update-speed): Drop support for XEmacs < 20. | |
14455 | (dframe-frame-mode): Drop support for Emacs < 20. | |
14456 | (dframe-set-timer-internal): Drop support for Emacs without timers. | |
14457 | (dframe-popup-kludge): Use mouse-menu-major-mode-map if defined. | |
14458 | ||
14459 | * ediff-init.el (ediff-check-version): Drop support for very old Emacs | |
14460 | versions. Add doc-string. Mark as obsolete. | |
14461 | ||
14462 | * ps-def.el (ps-color-device): Drop support for XEmacs < 19.12. | |
14463 | ||
14464 | * speedbar.el (speedbar-use-tool-tips-flag): Check for tooltip-mode, | |
14465 | rather than using an Emacs version test. | |
14466 | ||
14467 | * tree-widget.el (tree-widget-image-enable): Use display-images-p | |
14468 | rather than an Emacs version test. | |
14469 | ||
14470 | * calendar/cal-china.el (holiday-chinese-qingming) | |
14471 | (holiday-chinese-winter-solstice, holiday-chinese): New functions. | |
14472 | * calendar/calendar.el (calendar-chinese-all-holidays-flag): New. | |
14473 | * calendar/holidays.el (holiday-oriental-holidays): Add more holidays. | |
14474 | ||
14475 | * calendar/cal-islam.el (holiday-islamic): Doc fix. | |
14476 | ||
14477 | * calendar/diary-lib.el (diary-list-sexp-entries): Doc fix. | |
14478 | (diary-remind): Allow negative DAYS to represent a range 1:DAYS. | |
14479 | Suggested by Roland Winkler <Roland.Winkler@physik.uni-erlangen.de>. | |
14480 | ||
14481 | 2008-04-23 Nick Roberts <nickrob@snap.net.nz> | |
14482 | ||
14483 | * progmodes/gdb-ui.el (gdb-previous-frame-address): Rename... | |
14484 | (gdb-previous-frame-pc-address): ...to this. | |
14485 | (gdb-get-selected-frame): Use MI command -stack-info-frame instead | |
14486 | of "info frame" with Gdb 6.4+. | |
14487 | (gdb-stack-list-frames-regexp): New regexp. | |
14488 | (gdb-frame-handler-1): Parse output of -stack-info-frame. | |
14489 | ||
14490 | 2008-04-22 David Glasser <glasser@davidglasser.net> (tiny change) | |
14491 | ||
14492 | * tar-mode.el (tar-prefix-offset): New constant. | |
14493 | (tar-header-block-tokenize): Support paths with long names | |
14494 | which use the "ustar" standard. | |
14495 | ||
14496 | 2008-04-22 Mathias Dahl <mathias.dahl@gmail.com> | |
14497 | ||
14498 | * image-dired.el (image-dired-track-original-file) | |
14499 | (image-dired-modify-mark-on-thumb-original-file): | |
14500 | Use `dired-goto-file' instead of `search-forward'. This solves | |
14501 | a bug with finding files where the file name is a subset of other | |
14502 | file names. Doc fix. | |
14503 | ||
14504 | 2008-04-22 Juri Linkov <juri@jurta.org> | |
14505 | ||
14506 | * menu-bar.el (buffers-menu-max-size): Move its definition down to | |
14507 | the "Buffers Menu" section. Change group name `mouse' to `menu'. | |
14508 | (yank-menu-length): Change group name `mouse' to `menu'. | |
14509 | (buffers-menu-buffer-name-length): New user option. | |
14510 | (menu-bar-update-buffers): Use buffers-menu-buffer-name-length | |
14511 | instead of the hard-coded number 27. | |
14512 | ||
14513 | 2008-04-22 Juri Linkov <juri@jurta.org> | |
14514 | ||
14515 | * isearch.el (eval-when-compile): Require `help-macro'. | |
14516 | (isearch-help-for-help-internal): Isearch specific Help screen | |
14517 | created by `make-help-screen'. | |
14518 | (isearch-help-map): New variable that binds "b", "k", "m" to | |
14519 | isearch-specific commands, and binds other keys to | |
14520 | isearch-other-control-char, thus allowing other Help keys to | |
14521 | exit isearch mode and execute their global definitions. | |
14522 | (isearch-help-for-help, isearch-describe-bindings) | |
14523 | (isearch-describe-key, isearch-describe-mode): New commands. | |
14524 | (isearch-mode-help): Define alias to isearch-describe-mode | |
14525 | and remove its old function definition. | |
14526 | (isearch-mode-map): Bind "\C-h" to isearch-help-map. | |
14527 | Remove old comments because this issue is settled now. | |
14528 | (isearch-forward): Describe three new Help commands in the docstring. | |
14529 | (isearch-mode): Remove \\{isearch-mode-map} from the docstring, | |
14530 | leave the single sentence at the first line of the docstring, | |
14531 | and prepend the word "function" before `isearch-forward' | |
14532 | to make the Help link to the function instead of variable. | |
14533 | ||
14534 | 2008-04-22 Juri Linkov <juri@jurta.org> | |
14535 | ||
14536 | * isearch.el (isearch-success-function): New variable with default | |
14537 | to `isearch-success-function-default'. | |
14538 | (isearch-search): Call a function from `isearch-success-function' | |
14539 | instead of calling the hard-coded `isearch-range-invisible'. | |
14540 | (isearch-success-function-default): New function that calls | |
14541 | `isearch-range-invisible' and inverts its return value. | |
14542 | ||
14543 | * info.el (Info-search): In two similar places that skip | |
14544 | undesired search matches move code to Info-search-success-function, | |
14545 | and call `isearch-success-function' instead. | |
14546 | (Info-search-success-function): New function copied from code | |
14547 | in Info-search. Replace isearch-range-invisible with | |
14548 | text-property-not-all that checks for 'invisible and 'display | |
14549 | properties to skip partially invisible matches (whose display | |
14550 | properties were set by Info-fontify-node). | |
14551 | (Info-mode): Set buffer-local isearch-success-function | |
14552 | to Info-search-success-function. | |
14553 | ||
14554 | 2008-04-22 Juri Linkov <juri@jurta.org> | |
14555 | ||
14556 | * minibuffer.el (internal-complete-buffer-except): New function. | |
14557 | ||
14558 | * files.el (read-buffer-to-switch): New function. | |
14559 | (switch-to-buffer-other-window, switch-to-buffer-other-frame): | |
14560 | Change interactive spec to call read-buffer-to-switch instead of | |
14561 | using the letter "B". | |
14562 | ||
14563 | * simple.el (minibuffer-default-add-shell-commands): New function. | |
14564 | Use declare-function for mailcap-file-default-commands from "mailcap". | |
14565 | (shell-command): Set local minibuffer-default-add-function to | |
14566 | minibuffer-default-add-shell-commands in minibuffer-with-setup-hook | |
14567 | before calling read-shell-command. Set 4th arg default-value of | |
14568 | read-shell-command to relative buffer-file-name in file buffers. | |
14569 | ||
14570 | * dired-aux.el (dired-read-shell-command-default): Move it to | |
14571 | gnus/mailcap.el and change its name to more general name | |
14572 | mailcap-file-default-commands. | |
14573 | (minibuffer-default-add-dired-shell-commands): New function. | |
14574 | Use declare-function for mailcap-file-default-commands from "mailcap". | |
14575 | (dired-read-shell-command): Set local minibuffer-default-add-function | |
14576 | to minibuffer-default-add-dired-shell-commands in minibuffer-with-setup-hook | |
14577 | before calling read-shell-command. Remove dired-read-shell-command-default | |
14578 | from the default value arg because default values are not set in | |
14579 | minibuffer-default-add-dired-shell-commands. Doc fix. | |
14580 | ||
14581 | * dired-x.el (dired-smart-shell-command): Sync arguments and | |
14582 | interactive spec with changes in `shell-command'. | |
14583 | Use dired-get-filename to get the dired file name at point | |
14584 | as the default value in dired mode. | |
14585 | ||
14586 | 2008-04-22 Juanma Barranquero <lekktu@gmail.com> | |
14587 | ||
14588 | * info.el (Info-complete-menu-item): Add missing parenthesis. | |
14589 | ||
14590 | 2008-04-22 Stefan Monnier <monnier@iro.umontreal.ca> | |
14591 | ||
14592 | * info.el (Info-complete-menu-item): Save point. | |
14593 | ||
14594 | 2008-04-22 Juanma Barranquero <lekktu@gmail.com> | |
14595 | ||
14596 | * minibuffer.el (minibuffer-complete-and-exit): Fix last change. | |
14597 | ||
14598 | 2008-04-22 Andreas Schwab <schwab@suse.de> | |
14599 | ||
14600 | * Makefile.in (emacs-deps): Define. | |
14601 | Use it instead of $(lisp)/subdirs.el. | |
14602 | ||
14603 | 2008-04-22 Dan Nicolaescu <dann@ics.uci.edu> | |
14604 | ||
14605 | * vc.el (vc-next-action): Do not consider directories when | |
14606 | checking for state compatibility. | |
14607 | (vc-transfer-file): Use when not if. | |
14608 | (vc-dir-parent-marked-p, vc-dir-children-marked-p): New functions. | |
14609 | (vc-dir-mark-file): Use them. | |
14610 | (vc-deduce-fileset): Also return the backend. | |
14611 | (vc-diff-internal): Take as argument the value returned by | |
14612 | vc-deduce-fileset instead of just the fileset. | |
14613 | (vc-next-action, vc-finish-logentry, vc-version-diff, vc-diff) | |
14614 | (vc-dir-mark-file, vc-print-log, vc-revert, vc-rollback) | |
14615 | (vc-update): Update the vc-deduce-fileset and vc-diff-internal calls. | |
14616 | ||
14617 | 2008-04-22 Tassilo Horn <tassilo@member.fsf.org> | |
14618 | ||
14619 | * doc-view.el (doc-view-scroll-up-or-next-page): Don't use | |
14620 | set-window-vscroll but image-scroll-down. Fixes a bug where a | |
14621 | command following SPC scrolled up again. | |
14622 | ||
14623 | 2008-04-22 Nick Roberts <nickrob@snap.net.nz> | |
14624 | ||
14625 | * progmodes/gdb-ui.el (gdb-locals-header): New variable. | |
14626 | (gdb-locals-mode, gdb-registers-mode): Use it for header line. | |
14627 | (gud-watch): Add "$" prefix when in registers buffer. | |
14628 | ||
14629 | 2008-04-22 Glenn Morris <rgm@gnu.org> | |
14630 | ||
14631 | * progmodes/gdb-ui.el (gdbmi-invalidate-frames): Declare as function. | |
14632 | ||
14633 | * whitespace.el (whitespace-display-table) | |
14634 | (whitespace-display-table-was-local): Move definitions before use. | |
14635 | ||
14636 | * emacs-lisp/copyright.el (copyright-at-end-flag): New option. | |
14637 | (copyright-limit): Respect copyright-at-end-flag. | |
14638 | (copyright-re-search, copyright-start-point) | |
14639 | (copyright-offset-too-large-p): New functions. | |
14640 | (copyright-update-year): Use copyright-re-search. | |
14641 | (copyright-update, copyright-fix-years): Use copyright-start-point, | |
14642 | and copyright-re-search. | |
14643 | (copyright): Use copyright-offset-too-large-p. | |
14644 | * add-log.el (change-log-mode): Set copyright-at-end-flag. | |
14645 | ||
14646 | * add-log.el (top-level): Require 'cl when compiling. | |
14647 | ||
14648 | 2008-04-21 Stefan Monnier <monnier@iro.umontreal.ca> | |
14649 | ||
14650 | * complete.el (PC-complete-as-file-name, PC-read-file-name-internal): | |
14651 | Treat minibuffer-completion-predicate as a predicate. | |
14652 | ||
14653 | * minibuffer.el (completion--file-name-table): Accept both the old | |
14654 | `dir' arg or the new `pred' arg. | |
14655 | ||
14656 | * ffap.el (ffap-read-file-or-url): Do not abuse completing-read's | |
14657 | `predicate' argument to pass non-predicate data. | |
14658 | (ffap-read-url-internal, ffap-read-file-or-url-internal): | |
14659 | Use second arg as proper predicate. | |
14660 | ||
14661 | * vc-bzr.el (vc-bzr-complete-with-prefix): Remove. | |
14662 | (vc-bzr-revision-completion-table): Use completion-table-with-context | |
14663 | instead. | |
14664 | ||
14665 | * simple.el (choose-completion-string): Use minibuffer-completion-table. | |
14666 | ||
14667 | 2008-04-21 Chong Yidong <cyd@stupidchicken.com> | |
14668 | ||
14669 | * term.el (term-emulate-terminal): Perform redisplay after the | |
14670 | process filter has finished running. | |
14671 | ||
14672 | 2008-04-21 Stefan Monnier <monnier@iro.umontreal.ca> | |
14673 | ||
14674 | * vc.el (vc-dir): Use pop-to-buffer, so it can be customized. | |
14675 | ||
14676 | * minibuffer.el (completion-try-completion): Change magic symbol | |
14677 | property name. Rename from minibuffer-try-completion. | |
14678 | (completion-all-completions): Rename from minibuffer-all-completions. | |
14679 | Remove hide-spaces argument. | |
14680 | (completion--do-completion): Rename from minibuffer--do-completion. | |
14681 | (minibuffer-complete-and-exit): Call just try-completion rather than | |
14682 | completion-try-completion to fix up the case. | |
14683 | (completion--try-word-completion): Try to add space or hyphen before | |
14684 | making `string' a prefix of `completion'. | |
14685 | (completion--insert-strings): Rename from minibuffer--insert-strings. | |
14686 | ||
14687 | 2008-04-22 Naohiro Aota <nao.aota@gmail.com> (tiny change) | |
14688 | ||
14689 | * net/tls.el (tls-program): Add -ign_eof argument to call the | |
14690 | openssl commands. | |
14691 | (tls-checktrust): Ditto. | |
14692 | ||
14693 | 2008-04-21 Dan Nicolaescu <dann@ics.uci.edu> | |
14694 | ||
14695 | * vc-cvs.el (vc-cvs-status-extra-headers): New function. | |
14696 | ||
14697 | * vc-hooks.el (vc-insert-file, vc-state, vc-working-revision) | |
14698 | (vc-check-master-templates, vc-file-not-found-hook) | |
14699 | (vc-kill-buffer-hook): | |
14700 | * vc.el (vc-process-sentinel, vc-exec-after, vc-do-command) | |
14701 | (vc-find-position-by-context, vc-buffer-context) | |
14702 | (vc-restore-buffer-context, vc-responsible-backend) | |
14703 | (vc-expand-dirs, vc-ensure-vc-buffer, vc-buffer-sync) | |
14704 | (vc-next-action, vc-register, vc-register-with, vc-steal-lock) | |
14705 | (vc-finish-logentry, vc-coding-system-for-diff, vc-switches) | |
14706 | (vc-version-diff, vc-diff, vc-insert-headers) | |
14707 | (vc-dired-buffers-for-dir, vc-dired-resynch-file) | |
14708 | (vc-snapshot-precondition, vc-create-snapshot, vc-print-log) | |
14709 | (vc-revert, vc-rollback, vc-version-backup-file) | |
14710 | (vc-rename-master, vc-delete-file, vc-rename-file) | |
14711 | (vc-branch-part, vc-default-retrieve-snapshot) | |
14712 | (vc-annotate-display-autoscale, vc-annotate-display-select) | |
14713 | (vc-annotate, vc-annotate-warp-revision, vc-annotate-difference) | |
14714 | (vc-annotate-lines, vc-file-tree-walk-internal): Use when instead of if. | |
14715 | (vc-dir-update): Handle directories. | |
14716 | (vc-default-status-printer): Simplify. | |
14717 | ||
14718 | * progmodes/asm-mode.el (asm-mode-map): | |
14719 | * progmodes/hideif.el (hide-ifdef-mode-menu): Add :help. | |
14720 | ||
14721 | * progmodes/m4-mode.el (m4-mode-map): Add menu. | |
14722 | ||
14723 | 2008-04-21 Kenichi Handa <handa@m17n.org> | |
14724 | ||
14725 | * select.el (xselect-convert-to-string): Send a C_STRING only if | |
14726 | the polymorphic target TEXT is requested. | |
14727 | ||
14728 | 2008-04-21 Juanma Barranquero <lekktu@gmail.com> | |
14729 | ||
14730 | * minibuffer.el (completion-setup-hook, display-completion-list) | |
14731 | (completion--file-name-table): Fix typos in docstrings. | |
14732 | (completion-table-dynamic): Fix typo, and reflow docstring. | |
14733 | ||
14734 | 2008-04-20 Andreas Schwab <schwab@suse.de> | |
14735 | ||
14736 | * server.el (server-switch-buffer): Also consider clients in the | |
14737 | selected frame. | |
14738 | ||
14739 | 2008-04-19 Nick Roberts <nickrob@snap.net.nz> | |
14740 | ||
14741 | * progmodes/gdb-ui.el (gdb-mouse-set-clear-breakpoint): | |
14742 | Select window clicked on first. | |
14743 | (gdb): Display thread number in mode-line. | |
14744 | (gdb-make-header-line-mouse-map): Move to avoid byte compiler warnings. | |
14745 | (gdb-breakpoints-header): New variable. | |
14746 | (gdb-breakpoints-mode, gdb-threads-mode): Use it for header line. | |
14747 | ||
14748 | 2008-04-19 Stefan Monnier <monnier@iro.umontreal.ca> | |
14749 | ||
14750 | * vc-sccs.el (vc-sccs-do-command): New fun. Use the "sccs" command. | |
14751 | (vc-sccs-register, vc-sccs-checkin, vc-sccs-find-revision) | |
14752 | (vc-sccs-checkout, vc-sccs-rollback, vc-sccs-revert) | |
14753 | (vc-sccs-steal-lock, vc-sccs-modify-change-comment) | |
14754 | (vc-sccs-print-log): Use it. | |
14755 | ||
14756 | * vc-hooks.el (vc-path): Remove SCCS-specific hack. | |
14757 | ||
14758 | * emacs-lisp/lisp-mode.el (lisp-mode-auto-fill): Make it an alias. | |
14759 | * progmodes/scheme.el (scheme-mode-variables): Don't use it. | |
14760 | ||
14761 | * tooltip.el (tooltip-previous-message): New var. | |
14762 | (tooltip-show-help-non-mode): Rewrite to better follow the behavior of | |
14763 | the C code (avoid overwriting a minibuffer, restore previous echo | |
14764 | message, ...). | |
14765 | (tooltip-delay, tooltip-process-prompt-regexp, tooltip-strip-prompt): | |
14766 | Simplify. | |
14767 | ||
14768 | 2008-04-19 Nick Roberts <nickrob@snap.net.nz> | |
14769 | ||
14770 | * progmodes/gdb-ui.el (gdb-thread-indicator): New variable. | |
14771 | (gdb-init-1): Initialise it. | |
14772 | (gdb-annotation-rules): New entry for "new-thread". | |
14773 | (gdb-thread-identification): New function to customize | |
14774 | mode-line-buffer-identification. | |
14775 | (gdb-frames-mode, gdb-registers-mode, gdb-locals-mode) | |
14776 | (gdb-assembler-mode): Use it. | |
14777 | (gdb-threads-mode): Force "info threads" onto queue. | |
14778 | ||
14779 | 2008-04-19 Stefan Monnier <monnier@iro.umontreal.ca> | |
14780 | ||
14781 | * files.el (locate-file-completion-table): Rename from | |
14782 | locate-file-completion and make it use `pred' in the normal way. | |
14783 | (locate-file-completion): New compatibility wrapper. | |
14784 | (load-library): Use locate-file-completion-table. | |
14785 | * finder.el (finder-commentary): | |
14786 | * subr.el (locate-library): | |
14787 | * emacs-lisp/find-func.el (find-library): Likewise. | |
14788 | * info.el: Use with-current-buffer and inhibit-read-only. | |
14789 | (Info-read-node-name-2): Change to use `predicate' in the normal way. | |
14790 | (Info-read-node-name-1): Adjust uses accordingly. | |
14791 | ||
14792 | * minibuffer.el (completion-table-with-context): Add support for `pred'. | |
14793 | (completion-table-with-terminator): Don't use complete-with-action | |
14794 | since we have to distinguish all three cases anyway. | |
14795 | (completion-table-with-predicate): New function. | |
14796 | (dynamic-completion-table): Add obsolete alias. | |
14797 | ||
14798 | * emacs-lisp/trace.el (trace-make-advice): Don't change selected-window. | |
14799 | ||
14800 | 2008-04-18 Sam Steingold <sds@gnu.org> | |
14801 | ||
14802 | * vc.el (vc-dir-menu-map, vc-dir-mode-map, vc-dir-tool-bar-map): | |
14803 | Use quit-window instead of bury-buffer. | |
14804 | ||
14805 | 2008-04-18 Stefan Monnier <monnier@iro.umontreal.ca> | |
14806 | ||
14807 | * minibuffer.el (completion-table-with-terminator): Fix last fix. | |
14808 | ||
14809 | 2008-04-18 Andreas Schwab <schwab@suse.de> | |
14810 | ||
14811 | * Makefile.in ($(MH_E_DIR)/mh-loaddefs.el, $(CAL_DIR)/cal-loaddefs.el) | |
14812 | ($(CAL_DIR)/diary-loaddefs.el, $(CAL_DIR)/hol-loaddefs.el): | |
14813 | Depend on $(lisp)/subdirs.el. | |
14814 | ||
14815 | 2008-04-18 Juanma Barranquero <lekktu@gmail.com> | |
14816 | ||
14817 | * descr-text.el (describe-char-after): | |
14818 | Use `define-obsolete-function-alias'. | |
14819 | ||
14820 | * dired-x.el (dired-omit-files-p): Use `define-obsolete-variable-alias'. | |
14821 | ||
14822 | * facemenu.el (facemenu-unlisted-faces): Fix obsolescence description. | |
14823 | ||
14824 | * savehist.el (savehist-load): Add WHEN to obsolescence declaration. | |
14825 | Remove redundant obsolescence info in docstring. | |
14826 | ||
14827 | * vc-hooks.el (vc-ignore-vc-files, vc-master-templates) | |
14828 | (vc-header-alist): Add WHEN to obsolescence declaration. | |
14829 | (vc-state): Fix typo in docstring. | |
14830 | ||
14831 | * emacs-lisp/checkdoc.el (checkdoc-minor-keymap): | |
14832 | Use `define-obsolete-variable-alias'. | |
14833 | ||
14834 | * emulation/tpu-edt.el (tpu-have-ispell): | |
14835 | * international/codepage.el (codepage-setup): | |
14836 | Fix typo in obsolescence declaration. | |
14837 | ||
14838 | * international/mule.el (char-coding-system-table): | |
14839 | Remove redundant obsolescence info in docstring. | |
14840 | (charset-list, generic-char-p, set-char-table-default): | |
14841 | Fix typos in obsolescence declarations. | |
14842 | ||
14843 | * international/mule-diag.el (non-iso-charset-alist): | |
14844 | Fix typo in obsolescence declaration. | |
14845 | (decode-codepage-char): Remove redundant obsolescence info in docstring. | |
14846 | ||
14847 | * font-core.el (font-lock-defaults-alist): | |
14848 | * font-lock.el (font-lock-reference-face): | |
14849 | * frame.el (screen-height, screen-width, set-screen-width) | |
14850 | (set-screen-height): | |
14851 | * hilit-chg.el (highlight-changes-initial-state): | |
14852 | * isearch.el (isearch-return-char): | |
14853 | * log-edit.el (cvs-commit-buffer-require-final-newline) | |
14854 | (cvs-changelog-full-paragraphs): | |
14855 | * mouse.el (mouse-major-mode-menu, mouse-popup-menubar) | |
14856 | (mouse-popup-menubar-stuff): | |
14857 | * mwheel.el (mouse-wheel-down-button, mouse-wheel-up-button) | |
14858 | (mouse-wheel-click-button): | |
14859 | * outline.el (outline-visible): | |
14860 | * pcvs-defs.el (cvs-diff-ignore-marks, cvs-diff-buffer-name): | |
14861 | * pcvs-info.el (cvs-display-full-path, cvs-fileinfo->full-path): | |
14862 | * emacs-lisp/lisp-mode.el (lisp-comment-indent): | |
14863 | * progmodes/compile.el (compile-internal): | |
14864 | Add WHEN to obsolescence declarations. | |
14865 | ||
14866 | 2008-04-18 Stefan Monnier <monnier@iro.umontreal.ca> | |
14867 | ||
14868 | * emacs-lisp/debug.el (debug): Revert to bury-buffer since quit-window | |
14869 | is not better anyway. | |
14870 | ||
14871 | 2008-04-17 Vinicius Jose Latorre <viniciusjl@ig.com.br> | |
14872 | ||
14873 | * whitespace.el (whitespace-report-region): Handle whitespace-tab-width | |
14874 | properly. | |
14875 | ||
14876 | 2008-04-17 Stefan Monnier <monnier@iro.umontreal.ca> | |
14877 | ||
14878 | * progmodes/python.el (python-mode-map): Use abbrev-table-menu. | |
14879 | (python-use-skeletons): Remove, unused. | |
14880 | (python-skeletons): Remove. Use the abbrev table instead. | |
14881 | (python-mode-abbrev-table): Fix regexp; | |
14882 | add enable-function and case-fixed. | |
14883 | (def-python-skeleton): Simplify. | |
14884 | (python-expand-template): Use the abbrev-table and abbrev-insert. | |
14885 | (python-abbrev-pc-hook, python-abbrev-syntax-table, python-pea-hook): | |
14886 | Remove. | |
14887 | (python-mode): Don't set pre-abbrev-expand-hook. | |
14888 | ||
14889 | * skeleton.el: Set coding-tag. | |
14890 | ||
14891 | * abbrev.el (abbrev-insert): New function extracted from expand-abbrev. | |
14892 | (expand-abbrev): Use it. | |
14893 | (abbrev-table-menu): New function. | |
14894 | ||
14895 | * abbrev.el (define-abbrev-table): Fontify dosctrings as such. | |
14896 | ||
14897 | * minibuffer.el (completion-table-with-terminator): Fix paren typo. | |
14898 | ||
14899 | 2008-04-17 Sam Steingold <sds@gnu.org> | |
14900 | ||
14901 | * pcvs-util.el (cvs-bury-buffer): Use quit-window instead of the | |
14902 | idiosyncratic bury-buffer/delete-window logic. | |
14903 | ||
14904 | 2008-04-17 Juanma Barranquero <lekktu@gmail.com> | |
14905 | ||
14906 | * emacs-lisp/crm.el (crm-completion-help, crm-complete) | |
14907 | (crm-complete-word, crm-complete-and-exit): Fix typo in previous change. | |
14908 | ||
14909 | 2008-04-17 Kenichi Handa <handa@m17n.org> | |
14910 | ||
14911 | * international/characters.el: Don't make the width of U+00AD to 0. | |
14912 | ||
14913 | 2008-04-17 Nick Roberts <nickrob@snap.net.nz> | |
14914 | ||
14915 | * progmodes/gdb-ui.el (gdb-stack-update): New variable. | |
14916 | (gdb, gdb-starting, gdb-frames-mode): Use it. | |
14917 | (gdb-invalidate-frames): Advise to call "info stack" only if | |
14918 | execution has occurred. | |
14919 | (gdb-info-breakpoints-custom): Only update overlay-arrow pointing to | |
14920 | selected frame if no execution has occurred. | |
14921 | (gdb-frames-force-update): New interactive function. | |
14922 | (gdb-frames-mode-map): Bind it to "F". | |
14923 | ||
14924 | 2008-04-17 Michael Olson <mwolson@gnu.org> | |
14925 | ||
14926 | * textmodes/remember.el (remember-version): Release Remember 2.0. | |
14927 | ||
14928 | 2008-04-16 Yoni Rabkin <yoni@rabkins.net> | |
14929 | ||
14930 | * textmodes/artist.el: | |
14931 | * progmodes/vhdl-mode.el: | |
14932 | * progmodes/verilog-mode.el: | |
14933 | * progmodes/vera-mode.el: | |
14934 | * progmodes/simula.el: | |
14935 | * progmodes/ps-mode.el: | |
14936 | * progmodes/cmacexp.el: | |
14937 | * obsolete/hilit19.el: | |
14938 | * emulation/viper.el: | |
14939 | * ediff.el: Cleanup the bug-report email addresses and make sure the | |
14940 | maintainer is still willing to handle bug reports. | |
14941 | ||
14942 | 2008-04-16 Dan Nicolaescu <dann@ics.uci.edu> | |
14943 | ||
14944 | * vc.el (vc-dir-kill-query): Fix thinko. | |
14945 | ||
14946 | 2008-04-16 Glenn Morris <rgm@gnu.org> | |
14947 | ||
14948 | * calendar/diary-lib.el (diary-remind): Don't clobber `date' passed | |
14949 | by diary-sexp-entry. | |
14950 | ||
14951 | 2008-04-16 Markus Triska <markus.triska@gmx.at> | |
14952 | ||
14953 | * proced.el (proced-command-alist): Add support for darwin. | |
14954 | ||
14955 | 2008-04-16 Stefan Monnier <monnier@iro.umontreal.ca> | |
14956 | ||
14957 | * proced.el (proced-mode): Redefine as just the major-mode. | |
14958 | (proced): Separate it from proced-mode. | |
14959 | ||
14960 | * vc.el: Rename vc-status to vc-dir and the vc-status var to vc-ewoc. | |
14961 | ||
14962 | 2008-04-15 Vinicius Jose Latorre <viniciusjl@ig.com.br> | |
14963 | ||
14964 | * whitespace.el: Honor the `indent-tabs-mode' setting from user. | |
14965 | Suggested by Stephen Deasey <sdeasey@gmail.com>. Honor also the | |
14966 | `tab-width' setting from user. New version 10.0. Doc and docstring | |
14967 | fix. | |
14968 | (whitespace-style, whitespace-chars): Remove options. | |
14969 | (whitespace-style-mark): New option, replace whitespace-style deleted | |
14970 | option. Fix docstring. | |
14971 | (whitespace-style-color): New option, replace whitespace-chars deleted | |
14972 | option. Fix docstring. | |
14973 | (whitespace-space, whitespace-hspace, whitespace-tab) | |
14974 | (whitespace-newline, whitespace-trailing, whitespace-line) | |
14975 | (whitespace-space-before-tab, whitespace-indentation, whitespace-empty) | |
14976 | (whitespace-space-after-tab, whitespace-hspace-regexp) | |
14977 | (whitespace-space-regexp, whitespace-tab-regexp) | |
14978 | (whitespace-trailing-regexp, whitespace-empty-at-bob-regexp) | |
14979 | (whitespace-empty-at-eob-regexp, whitespace-line-column) | |
14980 | (whitespace-toggle-option-alist): Fix docstring. | |
14981 | (whitespace-space-before-tab-regexp, whitespace-indentation-regexp) | |
14982 | (whitespace-space-after-tab-regexp, whitespace-display-mappings): Fix | |
14983 | docstring and initialization. | |
14984 | (global-whitespace-mode): Autoloaded global minor mode. | |
14985 | (whitespace-chars-value-list, whitespace-style-value-list) | |
14986 | (whitespace-active-chars, whitespace-active-style) | |
14987 | (whitespace-toggle-chars, whitespace-toggle-style): Remove vars. | |
14988 | (whitespace-color-value-list): New var, replace | |
14989 | whitespace-chars-value-list removed var. | |
14990 | (whitespace-mark-value-list): New var, replace | |
14991 | whitespace-style-value-list removed var. | |
14992 | (whitespace-active-color): New var, replace whitespace-active-chars | |
14993 | removed var. | |
14994 | (whitespace-active-mark): New var, replace whitespace-active-style | |
14995 | removed var. | |
14996 | (whitespace-toggle-color): New var, replace whitespace-toggle-chars | |
14997 | removed var. | |
14998 | (whitespace-toggle-mark): New var, replace whitespace-toggle-style | |
14999 | removed var. | |
15000 | (whitespace-toggle-option-alist, whitespace-report-list) | |
15001 | (whitespace-report-text, whitespace-help-text): Fix initialization. | |
15002 | (whitespace-indent-tabs-mode, whitespace-tab-width): New vars. | |
15003 | (whitespace-toggle-options, global-whitespace-toggle-options) | |
15004 | (whitespace-cleanup-region, whitespace-report-region) | |
15005 | (whitespace-interactive-char): Fix docstring and code. | |
15006 | (whitespace-cleanup, whitespace-report): Fix docstring. | |
15007 | (whitespace-replace-spaces-by-tabs): Remove fun. | |
15008 | (whitespace-replace-action): New fun, replace | |
15009 | whitespace-replace-spaces-by-tabs removed fun. | |
15010 | (whitespace-regexp, whitespace-indentation-regexp) | |
15011 | (whitespace-space-after-tab-regexp, whitespace-insert-value) | |
15012 | (whitespace-kill-buffer): New funs. | |
15013 | (whitespace-insert-option-mark, whitespace-help-on) | |
15014 | (whitespace-help-off, whitespace-turn-on, whitespace-turn-off) | |
15015 | (whitespace-color-on, whitespace-color-off) | |
15016 | (whitespace-display-char-on): Fix code. | |
15017 | ||
15018 | 2008-04-15 Stefan Monnier <monnier@iro.umontreal.ca> | |
15019 | ||
15020 | * mouse-drag.el (mouse-throw-magnifier-with-scroll-bar) | |
15021 | (mouse-throw-magnifier-with-mouse-movement): Remove. | |
15022 | (mouse-throw-magnifier-base): New const. | |
15023 | (mouse-drag-scroll-delta): New fun. | |
15024 | (mouse-drag-throw): Use it. | |
15025 | ||
15026 | 2008-04-15 Juanma Barranquero <lekktu@gmail.com> | |
15027 | ||
15028 | * international/uni-bidi.el, international/uni-category.el: | |
15029 | * international/uni-combining.el, international/uni-comment.el: | |
15030 | * international/uni-decimal.el, international/uni-decomposition.el: | |
15031 | * international/uni-digit.el, international/uni-lowercase.el: | |
15032 | * international/uni-mirrored.el, international/uni-name.el: | |
15033 | * international/uni-numeric.el, international/uni-old-name.el: | |
15034 | * international/uni-titlecase.el, international/uni-uppercase.el: | |
15035 | * international/charprop.el: Regenerate. | |
15036 | ||
15037 | 2008-04-15 Dan Nicolaescu <dann@ics.uci.edu> | |
15038 | ||
15039 | * vc.el (vc-status-fileinfo): Add new member directoryp. | |
15040 | (vc-default-status-printer): Print directories. | |
15041 | (vc-status-update): Sort files before subdirectories. | |
15042 | ||
15043 | * vc-cvs.el (vc-cvs-after-dir-status, vc-cvs-dir-status): | |
15044 | Add alternative implementation based on "cvs update". | |
15045 | ||
15046 | 2008-04-15 Tassilo Horn <tassilo@member.fsf.org> | |
15047 | ||
15048 | * doc-view.el: Changed requirements section to tell that only one | |
15049 | of dvipdf or dvipdfm is needed. | |
15050 | (doc-view-already-converted-p): Fix bug that forced reconversion | |
15051 | if doc was already converted. | |
15052 | ||
15053 | 2008-04-14 Stefan Monnier <monnier@iro.umontreal.ca> | |
15054 | ||
15055 | * minibuffer.el (minibuffer-message): | |
15056 | Make sure we can put-text-property. | |
15057 | ||
15058 | * emacs-lisp/crm.el: Complete rewrite. | |
15059 | ||
15060 | * tmm.el (tmm-completion-delete-prompt): Don't hardcode point-min==1. | |
15061 | (tmm-add-prompt): Make sure completion-setup-hook is preserved even in | |
15062 | case of an error in display-completion-list. | |
15063 | ||
15064 | * filecache.el (file-cache-completions-keymap): Move init from | |
15065 | file-cache-completion-setup-function into declaration. | |
15066 | (file-cache-minibuffer-complete): Simplify. | |
15067 | (file-cache-completion-setup-function): Use standard-output, | |
15068 | preserve current-buffer. | |
15069 | ||
15070 | * vc.el (vc-status-update): Fix typo. | |
15071 | (vc-status-update): Set needs-update. | |
15072 | (vc-status-refresh): η-reduce. | |
15073 | ||
15074 | 2008-04-14 Tassilo Horn <tassilo@member.fsf.org> | |
15075 | ||
15076 | * doc-view.el (doc-view-mode-map): Bind C-a to image-bol and C-e | |
15077 | to image-eol. | |
15078 | ||
15079 | 2008-04-14 Alexandre Julliard <julliard@winehq.org> | |
15080 | ||
15081 | * vc.el (vc-status-update): Undo the previous revert. | |
15082 | ||
15083 | 2008-04-14 Stefan Monnier <monnier@iro.umontreal.ca> | |
15084 | ||
15085 | * minibuffer.el (completion-table-with-terminator): Those completions | |
15086 | are never valid w.r.t test-completion. | |
15087 | (completion--file-name-table): | |
15088 | Check completion-all-completions-with-base-size. | |
15089 | ||
15090 | 2008-04-14 Tassilo Horn <tassilo@member.fsf.org> | |
15091 | ||
15092 | * doc-view.el (doc-view-dvipdf-program): New variable. | |
15093 | (doc-view-dvipdfm-program): Mention doc-view-dvipdf-program. | |
15094 | (doc-view-mode-p): Check for doc-view-dvipdf-program as | |
15095 | alternative for doc-view-dvipdfm-program. | |
15096 | (doc-view-dvi->pdf): Prefer dvipdf over dvipdfm. | |
15097 | ||
15098 | * doc-view.el (doc-view-start-process): Don't set | |
15099 | default-directory to "~/" if the current value is valid. | |
15100 | This broke PS files that run other files in the same directory. | |
15101 | ||
15102 | 2008-04-14 Dan Nicolaescu <dann@ics.uci.edu> | |
15103 | ||
15104 | * vc.el (vc-status-kill-query): New function. | |
15105 | (vc-status-mode): Add it to kill-buffer-query-functions. | |
15106 | (vc-status-show-fileentry): New function. | |
15107 | (vc-status-menu-map): Bind it. Bind vc-next-action. | |
15108 | ||
15109 | 2008-04-14 Stefan Monnier <monnier@iro.umontreal.ca> | |
15110 | ||
15111 | * minibuffer.el (minibuffer-message): Put cursor at the right place. | |
15112 | ||
15113 | 2008-04-13 Roland Winkler <Roland.Winkler@physik.uni-erlangen.de> | |
15114 | ||
15115 | * proced.el (proced-send-signal): Fix error recognition. | |
15116 | ||
15117 | 2008-04-13 Roland Winkler <Roland.Winkler@physik.uni-erlangen.de> | |
15118 | ||
15119 | * proced.el (proced-command-alist): Remove sort column. | |
15120 | (proced-command, proced-procname-column): | |
15121 | Use make-variable-buffer-local. | |
15122 | (proced-signal-function): Rename from proced-kill-program. | |
15123 | Allow for elisp symbols and string values representing system calls. | |
15124 | (proced-marker-regexp, proced-success-message): New functions. | |
15125 | (proced): Use defalias. Add autoload cookie. | |
15126 | (proced-unmark-backward, proced-toggle-marks) | |
15127 | (proced-hide-processes): New commands. | |
15128 | (proced-do-mark): Simplify code. | |
15129 | (proced-insert-mark): Use optional arg BACKWARD instead of line number. | |
15130 | (proced-update): Remove sorting. | |
15131 | (proced-send-signal): Display number of processes to operate on. | |
15132 | Allow for system calls or elisp functions to send signals. | |
15133 | Check if signal was sent successfully. | |
15134 | ||
15135 | 2008-04-13 Stefan Monnier <monnier@iro.umontreal.ca> | |
15136 | ||
15137 | * minibuffer.el (completion-all-completion-with-base-size): New var. | |
15138 | (completion--some): New function. | |
15139 | (completion-table-with-context, completion--file-name-table): | |
15140 | Return the base-size if requested. | |
15141 | (completion-table-in-turn): Generalize to multiple arguments. | |
15142 | (complete-in-turn): Compatibility alias. | |
15143 | (completion-styles-alist): New var. | |
15144 | (completion-styles): New customization. | |
15145 | (minibuffer-try-completion, minibuffer-all-completions): | |
15146 | New functions. | |
15147 | (minibuffer--do-completion, minibuffer-complete-and-exit) | |
15148 | (minibuffer-try-word-completion): Use them. | |
15149 | (display-completion-list, minibuffer-completion-help): Use them. | |
15150 | Handle all-completions's new base-size info to set completion-base-size. | |
15151 | * info.el (Info-read-node-name-1): Use completion-table-with-context, | |
15152 | completion-table-with-terminator and complete-with-action. | |
15153 | Remove the now obsolete completion-base-size-function property. | |
15154 | * simple.el (completion-list-mode-map): Move init into declaration. | |
15155 | (completion-list-mode): Use define-derived-mode. | |
15156 | (completion-setup-function): Use any completion-base-size that may | |
15157 | have been set before. Remove handling of completion-base-size-function. | |
15158 | * loadup.el: Move abbrev.el up earlier. | |
15159 | ||
15160 | 2008-04-13 Alexandre Julliard <julliard@winehq.org> | |
15161 | ||
15162 | * vc-git.el (vc-git-after-dir-status-stage) | |
15163 | (vc-git-dir-status-goto-stage): New functions. | |
15164 | (vc-git-after-dir-status-stage1) | |
15165 | (vc-git-after-dir-status-stage1-empty-db) | |
15166 | (vc-git-after-dir-status-stage2): Remove, functionality moved | |
15167 | into the new generic stage functions. | |
15168 | (vc-git-dir-status-files): New function. | |
15169 | ||
15170 | * vc.el (vc-status-update): Revert an incorrect rewrite. | |
15171 | Add some comments. | |
15172 | (vc-status-refresh-files): New function. | |
15173 | (vc-status-refresh): Use `vc-status-refresh-files' to refresh the | |
15174 | state of up-to-date files. | |
15175 | (vc-default-dir-status-files): New function. | |
15176 | ||
15177 | 2008-04-13 Juanma Barranquero <lekktu@gmail.com> | |
15178 | ||
15179 | * minibuffer.el (completion--embedded-envvar-table) | |
15180 | (read-file-name-internal): Fix typos in 2008-04-11 change. | |
15181 | ||
15182 | * faces.el (read-face-name): Use `completion-table-in-turn', | |
15183 | not `complete-in-turn'. | |
15184 | ||
15185 | 2008-04-13 Andreas Schwab <schwab@suse.de> | |
15186 | ||
15187 | * progmodes/etags.el: Require 'cl when compiling. | |
15188 | ||
15189 | 2008-04-12 Nick Roberts <nickrob@snap.net.nz> | |
15190 | ||
15191 | * progmodes/gud.el (gud-menu-map): Expand tooltip. | |
15192 | ||
15193 | * progmodes/gdb-ui.el (gdb-find-source-frame): Improve doc string. | |
15194 | (menu): Add/expand menu tooltips. | |
15195 | ||
15196 | 2008-04-12 Dan Nicolaescu <dann@ics.uci.edu> | |
15197 | ||
15198 | * progmodes/sh-script.el (sh-show-indent): Fix typo. | |
15199 | (sh-mode-map): Add a toggle for inserting braces and quotes in pairs. | |
15200 | ||
15201 | * vc-cvs.el (vc-cvs-registered): Allow removed files to be | |
15202 | considered registered. | |
15203 | ||
15204 | 2008-04-12 Reiner Steib <Reiner.Steib@gmx.de> | |
15205 | ||
15206 | * emacs-lisp/copyright.el (copyright-update-directory): New command. | |
15207 | ||
15208 | * ediff-wind.el (ediff-split-window-function) | |
15209 | (ediff-merge-split-window-function): Improve custom type. | |
15210 | ||
15211 | 2008-04-12 Eli Zaretskii <eliz@gnu.org> | |
15212 | ||
15213 | * loadup.el ("minibuffer"): Move after "faces". | |
15214 | ||
15215 | 2008-04-12 Stefan Monnier <monnier@iro.umontreal.ca> | |
15216 | ||
15217 | * progmodes/hideif.el (hif-factor): Handle unary minus. | |
15218 | ||
15219 | 2008-04-12 Glenn Morris <rgm@gnu.org> | |
15220 | ||
15221 | * calendar/cal-china.el (chinese-calendar-time-zone): | |
15222 | Mark obsolete name as risky too. | |
15223 | ||
15224 | * calendar/calendar.el (calendar-faces): New custom group. | |
15225 | (calendar-today, diary, holiday): Doc fix. | |
15226 | Move to calendar-faces group. | |
15227 | * calendar/diary-lib.el (diary-face, diary-anniversary, diary-time) | |
15228 | (diary-button): Doc fix. Move to calendar-faces group. | |
15229 | ||
15230 | * emacs-lisp/byte-run.el (define-obsolete-variable-alias): Doc fix. | |
15231 | * desktop.el, files.el, hilit-chg.el, ibuffer.el, iswitchb.el: | |
15232 | * pcvs-info.el, recentf.el, speedbar.el, calendar/cal-china.el: | |
15233 | * calendar/cal-hebrew.el, calendar/cal-x.el, calendar/calendar.el | |
15234 | * calendar/diary-lib.el, net/net-utils.el, progmodes/gud.el: | |
15235 | Move non-autoloaded define-obsolete-variable-alias calls for defcustoms | |
15236 | not in dumped files before the associated defcustom. | |
15237 | ||
15238 | 2008-04-11 Johan Bockgård <bojohan@gnu.org> | |
15239 | ||
15240 | * minibuffer.el (lazy-completion-table): Fix debug spec. | |
15241 | ||
15242 | 2008-04-11 Stefan Monnier <monnier@iro.umontreal.ca> | |
15243 | ||
15244 | * minibuffer.el (complete-with-action, lazy-completion-table): | |
15245 | Move from subr.el. | |
15246 | (apply-partially, completion-table-dynamic) | |
15247 | (completion-table-with-context, completion-table-with-terminator) | |
15248 | (completion-table-in-turn): New funs. | |
15249 | (completion--make-envvar-table, completion--embedded-envvar-table): | |
15250 | New funs. | |
15251 | (read-file-name-internal): Use them. | |
15252 | (completion-setup-hook): Move from simple.el. | |
15253 | * subr.el (complete-with-action, lazy-completion-table): | |
15254 | * simple.el (completion-setup-hook): Move to minibuffer.el. | |
15255 | ||
15256 | 2008-04-11 Glenn Morris <rgm@gnu.org> | |
15257 | ||
15258 | * Makefile.in (AUTOGENEL): Add calc/calc-loaddefs.el. | |
15259 | ||
15260 | 2008-04-11 Stefan Monnier <monnier@iro.umontreal.ca> | |
15261 | ||
15262 | * progmodes/python.el (python-mode): Don't mess with hippie-expand. | |
15263 | ||
15264 | * Makefile.in (bootstrap-prepare): Make tpu-edt.el writable as well. | |
15265 | ||
15266 | * textmodes/fill.el (fill-forward-paragraph-function): New var. | |
15267 | (fill-forward-paragraph): New fun. | |
15268 | (fill-paragraph, fill-region): Use it. | |
15269 | ||
15270 | * vc.el: Change `dir-status' to not take (and pass) status-buffer. | |
15271 | (vc-status-create-fileinfo): Make `extra' optional. | |
15272 | (vc-status-busy): New fun. | |
15273 | (vc-status-menu-map): Use it. | |
15274 | (vc-status-crt-marked): Remove. | |
15275 | (vc-status-update): Rename from vc-status-add-entries. | |
15276 | Add argument so as to prevent addition of entries. Rewrite. | |
15277 | (vc-update-vc-status-buffer): Remove. | |
15278 | (vc-status-refresh): Don't remove old entries, set them to | |
15279 | up-to-date instead. Also do it after the update is complete. | |
15280 | (vc-status-marked-files): η-reduce. | |
15281 | ||
15282 | * dired.el (dired-read-dir-and-switches): Use read-directory-name even | |
15283 | for non-dialogs. | |
15284 | ||
15285 | * Makefile.in (bootstrap-prepare): Don't copy ldefs-boot over loaddefs. | |
15286 | ||
15287 | * loadup.el: Load ldefs-boot.el if loaddefs.el doesn't exist. | |
15288 | ||
15289 | 2008-04-11 Jan Djärv <jan.h.d@swipnet.se> | |
15290 | ||
15291 | * tooltip.el (tooltip-show-help-non-mode): Set message-truncate-lines | |
15292 | to t and don't truncate msg. | |
15293 | ||
15294 | 2008-04-11 Glenn Morris <rgm@gnu.org> | |
15295 | ||
15296 | * calendar/calendar.el (diary, holidays): | |
15297 | Move custom groups to other files. | |
15298 | (holiday-general-holidays, holiday-oriental-holidays) | |
15299 | (holiday-local-holidays, holiday-other-holidays, hebrew-holidays-1) | |
15300 | (hebrew-holidays-2, hebrew-holidays-3, hebrew-holidays-4) | |
15301 | (holiday-hebrew-holidays, holiday-christian-holidays) | |
15302 | (holiday-islamic-holidays, holiday-bahai-holidays) | |
15303 | (holiday-solar-holidays, calendar-holidays): Move to holidays.el. | |
15304 | * calendar/diary-lib.el: Move custom group here from calendar.el. | |
15305 | * calendar/holidays.el: Move custom group and variables here | |
15306 | from calendar.el. | |
15307 | ||
15308 | * calendar/cal-china.el (calendar-chinese-time-zone): Mark as risky. | |
15309 | ||
15310 | * calendar/cal-dst.el (calendar-dst-check-each-year-flag): | |
15311 | Fix custom group. | |
15312 | (calendar-current-time-zone-cache): Autoload riskiness. | |
15313 | ||
15314 | * calendar/cal-tex.el (cal-tex-preamble-extra): Fix custom type. | |
15315 | ||
15316 | 2008-04-11 Chong Yidong <cyd@stupidchicken.com> | |
15317 | ||
15318 | * woman.el (woman2-TH): Use string-equal instead of string-match. | |
15319 | ||
15320 | 2008-04-11 Stefan Monnier <monnier@iro.umontreal.ca> | |
15321 | ||
15322 | * smerge-mode.el (smerge-apply-resolution-patch): Fix typo. | |
15323 | (smerge-resolve): Merge the "2-way refinement" case with one half of | |
15324 | the "mere whitespace" resolution. | |
15325 | ||
15326 | 2008-04-10 Dan Nicolaescu <dann@ics.uci.edu> | |
15327 | ||
15328 | * vc-bzr.el (vc-bzr-after-dir-status): Detect the conflict state. | |
15329 | ||
15330 | 2008-04-10 Juanma Barranquero <lekktu@gmail.com> | |
15331 | ||
15332 | * subr.el (assoc-ignore-case, assoc-ignore-representation): | |
15333 | Add WHEN to obsolescence declaration. | |
15334 | ||
15335 | * makefile.w32-in (AUTOGENEL): Add calc-loaddefs.el. | |
15336 | ||
15337 | 2008-04-10 Dan Nicolaescu <dann@ics.uci.edu> | |
15338 | ||
15339 | * vc-hooks.el (vc-state): Add new state `conflict'. | |
15340 | (vc-after-save): Use when not if. | |
15341 | (vc-default-mode-line-string): Deal with the conflict state. | |
15342 | (vc-prefix-map, vc-menu-map): Bind vc-status instead of vc-directory. | |
15343 | ||
15344 | * vc.el (vc-editable-p, vc-default-status-printer) | |
15345 | (vc-next-action): Deal with the conflict state. | |
15346 | (vc-mark-resolved): New function. | |
15347 | (vc-status-mode): Fix mode name. | |
15348 | (vc-default-comment-history): Use when not if. | |
15349 | (Todo): Add new entries, remove old ones. | |
15350 | ||
15351 | * vc-cvs.el (vc-cvs-merge, vc-cvs-merge-news): Set conflict state. | |
15352 | (vc-cvs-parse-status, vc-cvs-after-dir-status): | |
15353 | * vc-svn.el (vc-svn-after-dir-status, vc-svn-parse-status): | |
15354 | Detect the conflict state. | |
15355 | ||
15356 | * vc-hg.el (vc-hg-dir-status): Remove unneeded call. | |
15357 | ||
15358 | 2008-04-10 Glenn Morris <rgm@gnu.org> | |
15359 | ||
15360 | * menu-bar.el (menu-bar-options-menu) <truncate-lines>: | |
15361 | Respect truncate-partial-width-windows in non-full windows, | |
15362 | with regards to :toggle and :enable state. | |
15363 | ||
15364 | * simple.el (toggle-truncate-lines): Doc fix. | |
15365 | ||
15366 | * Makefile.in (MH_E_DIR): New variable. | |
15367 | (MH_E_SRC): Restore variable removed 2008-03-13. | |
15368 | (mh-loaddefs.el): Depend on $MH_E_SRC. | |
15369 | (CAL_DIR, CAL_SRC): New variables. | |
15370 | (cal-loaddefs.el, diary-loaddefs.el, hol-loaddefs.el): | |
15371 | Depend on CAL_SRC. | |
15372 | ||
15373 | * calendar/calendar.el (calendar, diary): Add :prefix. | |
15374 | (holidays): Change :prefix. | |
15375 | (calendar-today, holiday, calendar-holiday-marker) | |
15376 | (european-calendar-style): Change custom groups. | |
15377 | ||
15378 | * calendar/calendar.el (diary-hook, diary-display-hook): | |
15379 | Move to diary-lib.el. | |
15380 | * calendar/diary-lib.el (diary-hook, diary-display-hook): | |
15381 | Move here from calendar.el. | |
15382 | * calendar/appt.el: Require diary-lib rather than calendar. | |
15383 | * calendar/cal-x.el (diary-display-hook): Declare for compiler. | |
15384 | ||
15385 | * calendar/appt.el (appt): Add :prefix. | |
15386 | ||
15387 | * calendar/diary-lib.el (diary-hook): Doc fix. | |
15388 | (diary-mark-sexp-entries): Fix replacement of calendar-for-loop. | |
15389 | ||
15390 | 2008-04-10 Stefan Monnier <monnier@iro.umontreal.ca> | |
15391 | ||
15392 | * minibuffer.el (minibuffer--double-dollars, read-file-name-internal): | |
15393 | New functions. | |
15394 | ||
15395 | * minibuffer.el (minibuffer--do-completion): Don't forget to propagate | |
15396 | the arg to recursive calls. | |
15397 | ||
15398 | 2008-04-09 Juanma Barranquero <lekktu@gmail.com> | |
15399 | ||
15400 | * minibuffer.el (completion-auto-help): Fix typo. | |
15401 | ||
15402 | 2008-04-09 Stefan Monnier <monnier@iro.umontreal.ca> | |
15403 | ||
15404 | * vc-cvs.el (vc-cvs-diff-tree): Remove unused function. | |
15405 | ||
15406 | 2008-04-09 Michael Albinus <michael.albinus@gmx.de> | |
15407 | ||
15408 | * net/tramp.el (tramp-find-file-name-coding-system-alist): New defun. | |
15409 | (tramp-handle-insert-file-contents, tramp-handle-write-region): Use it. | |
15410 | ||
15411 | 2008-04-09 Stefan Monnier <monnier@iro.umontreal.ca> | |
15412 | ||
15413 | * vc-hooks.el (vc-default-mode-line-string): Use ? for missing. | |
15414 | ||
15415 | * minibuffer.el (minibuffer): Move group from cus-edit.el. | |
15416 | (completion-auto-help): Move from C code. | |
15417 | (minibuffer--maybe-completion-help): Remove. | |
15418 | (minibuffer--bitset): New function. | |
15419 | (minibuffer--do-completion): Rename from minibuffer-do-completion. | |
15420 | Renumber a bit. Really complete on string *before* point. | |
15421 | Add argument used for word-completion. | |
15422 | Join trailing / in completion with following text, as done in | |
15423 | minibuffer-complete-word. | |
15424 | Handle new value `lazy' for completion-auto-help. | |
15425 | (minibuffer-try-word-completion): New function extracted from | |
15426 | minibuffer-complete-word. | |
15427 | (minibuffer-complete-word): Use minibuffer--do-completion. | |
15428 | (minibuffer--insert-strings): Rename from | |
15429 | minibuffer-complete-insert-strings. | |
15430 | (exit-minibuffer): Fix typo. | |
15431 | * cus-edit.el (minibuffer): Move group to minibuffer.el. | |
15432 | * cus-start.el: Remove completion-auto-help. | |
15433 | ||
15434 | 2008-04-09 Alexandre Julliard <julliard@winehq.org> | |
15435 | ||
15436 | * vc.el (vc-status-add-entries): New function. | |
15437 | (vc-status-add-entry): Remove. | |
15438 | (vc-update-vc-status-buffer, vc-status-mark-buffer-changed): | |
15439 | Use vc-status-add-entries. | |
15440 | ||
15441 | * emacs-lisp/ewoc.el (ewoc-collect): Return results in the correct | |
15442 | order. | |
15443 | ||
15444 | 2008-04-09 Jason Rumney <jasonr@gnu.org> | |
15445 | ||
15446 | * makefile.w32-in (LOADDEFS): Add mh-loaddefs.el. | |
15447 | ($(lisp)/mh-e/mh-loaddefs.el): Simplify rule. | |
15448 | (pre-mh-loaddefs.el-CMD, pre-mh-loaddefs.el-SH): Remove. | |
15449 | (AUTOGENEL): New variable. | |
15450 | (distclean, maintainer-clean): New targets. | |
15451 | ||
15452 | 2008-04-09 Chong Yidong <cyd@stupidchicken.com> | |
15453 | ||
15454 | * emacs-lisp/regexp-opt.el (regexp-opt): | |
15455 | Reduce max-lisp-eval-depth and max-specpdl-size to 10000. | |
15456 | ||
15457 | 2008-04-09 Lennart Borgman <lennart.borgman@gmail.com> | |
15458 | ||
15459 | * nxml/nxml-mode.el (nxml-cleanup): New function. | |
15460 | (nxml-mode): Add it to change-major-mode-hook. | |
15461 | ||
15462 | 2008-04-09 Jan Djärv <jan.h.d@swipnet.se> | |
15463 | ||
15464 | * term/x-win.el (x-gtk-stock-map): Map info to gtk-info. | |
15465 | ||
15466 | 2008-04-09 Juanma Barranquero <lekktu@gmail.com> | |
15467 | ||
15468 | * calc/.cvsignore: New file. | |
15469 | ||
15470 | 2008-04-09 Jan Djärv <jan.h.d@swipnet.se> | |
15471 | ||
15472 | * vc.el (vc-status-tool-bar-map): Add vc-print-log to tool bar. | |
15473 | ||
15474 | * tooltip.el (tooltip-mode): Set tooltip-show-help-non-mode as | |
15475 | show-help-function when turning tooltip off. | |
15476 | (tooltip-show): Call tooltip-show-help-non-mode if use-echo-area. | |
15477 | (tooltip-trunc-str, tooltip-show-help-non-mode): New. | |
15478 | ||
15479 | 2008-04-09 Alan Mackenzie <acm@muc.de> | |
15480 | ||
15481 | * font-lock.el (font-lock-extend-after-change-region-function): | |
15482 | Make it buffer local. | |
15483 | ||
15484 | 2008-04-09 Glenn Morris <rgm@gnu.org> | |
15485 | ||
15486 | * calendar/calendar.el (diary-file, european-calendar-style): | |
15487 | Remove autoload cookies. | |
15488 | ||
15489 | 2008-04-09 Dan Nicolaescu <dann@ics.uci.edu> | |
15490 | ||
15491 | * outline.el (outline-mode-menu-bar-map): | |
15492 | * log-view.el (log-view-mode-menu): | |
15493 | * log-edit.el (log-edit-menu): Add :help. | |
15494 | ||
15495 | 2008-04-09 Chong Yidong <cyd@stupidchicken.com> | |
15496 | ||
15497 | * emacs-lisp/regexp-opt.el (regexp-opt-group): | |
15498 | Use substring-no-properties for correct handling of unibyte strings. | |
15499 | ||
15500 | 2008-04-09 Stefan Monnier <monnier@iro.umontreal.ca> | |
15501 | ||
15502 | * add-log.el (change-log-next-buffer): Handle the case where version< | |
15503 | signals an error. | |
15504 | ||
15505 | * mouse.el (mouse-menu-major-mode-map): New fun extracted from | |
15506 | mouse-major-mode-menu. | |
15507 | (mouse-menu-bar-map): New fun extracted from mouse-popup-menubar. | |
15508 | (mouse-major-mode-menu, mouse-popup-menubar) | |
15509 | (mouse-popup-menubar-stuff): Use them. | |
15510 | (C-down-mouse-3): Bind to a dynamic map rather than to | |
15511 | mouse-popup-menubar-stuff. | |
15512 | ||
15513 | * bindings.el (mode-line-major-mode-keymap): Bind down-mouse-1 | |
15514 | to mouse-menu-major-mode-map rather than to mouse-major-mode-menu. | |
15515 | ||
15516 | 2008-04-09 Dan Nicolaescu <dann@ics.uci.edu> | |
15517 | ||
15518 | * vc-svn.el (vc-svn-modify-change-comment): Add support for the | |
15519 | file:// access method. | |
15520 | ||
15521 | 2008-04-09 Stefan Monnier <monnier@iro.umontreal.ca> | |
15522 | ||
15523 | * minibuffer.el: New file. | |
15524 | * loadup.el: Load it. | |
15525 | ||
15526 | 2008-04-08 Stefan Monnier <monnier@iro.umontreal.ca> | |
15527 | ||
15528 | * Makefile.in ($(lisp)/mh-e/mh-loaddefs.el): Make it depend on mh-e/*.el | |
15529 | rather than subdirs.el. It introduces an ugly circular dependency, tho. | |
15530 | ||
15531 | * calc/calc.el: Load "calc-loaddefs" rather than set up manual autoloads. | |
15532 | (calc-mode-map, calc-digit-map, calc-dispatch-map): | |
15533 | Move initialization into declaration. | |
15534 | * calc/calc-yank.el: | |
15535 | * calc/calc-misc.el: | |
15536 | * calc/calc-embed.el: | |
15537 | * calc/calc-aent.el: Add autoload cookies. Set generated-autoload-file. | |
15538 | ||
15539 | 2008-04-08 Michael Albinus <michael.albinus@gmx.de> | |
15540 | ||
15541 | * ps-samp.el (ps-add-printer, ps-remove-printer) | |
15542 | (ps-make-dynamic-printer-menu): New functions. | |
15543 | ||
15544 | * net/zeroconf.el: New file. | |
15545 | ||
15546 | 2008-04-08 Stefan Monnier <monnier@iro.umontreal.ca> | |
15547 | ||
15548 | * calendar/cal-hebrew.el (calendar-hebrew-list-yahrzeits): Typo. | |
15549 | ||
15550 | 2008-04-08 Dan Nicolaescu <dann@ics.uci.edu> | |
15551 | ||
15552 | * vc-rcs.el (vc-rcs-modify-change-comment): | |
15553 | * vc-cvs.el (vc-cvs-modify-change-comment): Fix argument order. | |
15554 | ||
15555 | * log-view.el (log-view-mode-menu): Bind log-view-modify-change-comment. | |
15556 | ||
15557 | 2008-04-08 Juanma Barranquero <lekktu@gmail.com> | |
15558 | ||
15559 | * international/mule-cmds.el (set-locale-environment): Don't warn if | |
15560 | coding system doesn't agree with system locale (this reverts changes | |
15561 | by Dave Love, dated 2002-10-27 and 2002-10-09). | |
15562 | ||
15563 | * emacs-lisp/checkdoc.el (checkdoc-this-string-valid-engine): | |
15564 | * emacs-lisp/cl-macs.el (get-setf-method): Use `string-match-p'. | |
15565 | ||
15566 | * emacs-lisp/copyright.el (copyright-update-year): Use `looking-at-p'. | |
15567 | ||
15568 | 2008-04-08 Glenn Morris <rgm@gnu.org> | |
15569 | ||
15570 | * calendar/calendar.el (calendar-date-style): Remove autoload cookie. | |
15571 | * textmodes/remember.el (remember-diary-convert-entry): | |
15572 | Require calendar. | |
15573 | ||
15574 | * textmodes/remember.el (remember-diary-extract-entries): Don't pass | |
15575 | diary-file, since it is the default for make-diary-entry anyway. | |
15576 | ||
15577 | * calendar/cal-menu.el (cal-menu-event-to-date): | |
15578 | Rename calendar-event-to-date. Update callers. | |
15579 | (calendar-mouse-tex-day): Rename cal-tex-mouse-day. | |
15580 | (calendar-mouse-tex-week): Rename cal-tex-mouse-week. | |
15581 | (calendar-mouse-tex-week2): Rename cal-tex-mouse-week2. | |
15582 | (calendar-mouse-tex-week-iso): Rename cal-tex-mouse-week-iso. | |
15583 | (calendar-mouse-tex-week-monday): Rename cal-tex-mouse-week-monday. | |
15584 | (calendar-mouse-tex-filofax-daily): Rename cal-tex-mouse-filofax-daily. | |
15585 | (calendar-mouse-tex-filofax-2week): Rename cal-tex-mouse-filofax-2week. | |
15586 | (calendar-mouse-tex-filofax-week): Rename cal-tex-mouse-filofax-week. | |
15587 | (calendar-mouse-tex-month): Rename cal-tex-mouse-month. | |
15588 | (calendar-mouse-tex-month-landscape): | |
15589 | Rename cal-tex-mouse-month-landscape. | |
15590 | (calendar-mouse-tex-year): Rename cal-tex-mouse-year. | |
15591 | (calendar-mouse-tex-filofax-year): Rename cal-tex-mouse-filofax-year. | |
15592 | (calendar-mouse-tex-year-landscape): | |
15593 | Rename cal-tex-mouse-year-landscape. | |
15594 | (cal-menu-context-mouse-menu): Update for above name changes. | |
15595 | ||
15596 | * calendar/cal-bahai.el, calendar/cal-china.el, calendar/cal-coptic.el: | |
15597 | * calendar/cal-french.el, calendar/cal-hebrew.el, calendar/cal-islam.el: | |
15598 | * calendar/cal-iso.el, calendar/cal-julian.el, calendar/cal-move.el: | |
15599 | * calendar/cal-persia.el, calendar/cal-tex.el, calendar/calendar.el: | |
15600 | * calendar/holidays.el: Replace int-to-string with number-to-string. | |
15601 | ||
15602 | 2008-04-08 Chong Yidong <cyd@stupidchicken.com> | |
15603 | ||
15604 | * mwheel.el (mwheel-scroll): Deactivate any temporarily active | |
15605 | region if point moves. | |
15606 | ||
15607 | 2008-04-08 Kenichi Handa <handa@m17n.org> | |
15608 | ||
15609 | * faces.el (font-slant-table): Change numeric values for `r', | |
15610 | `roman', and `normal'. | |
15611 | ||
15612 | 2008-04-07 Vincent Belaïche <vincent.b.1@hotmail.fr> | |
15613 | ||
15614 | * calc/calc-vec.el (calcFunc-kron, calc-kron): New functions. | |
15615 | ||
15616 | 2008-04-07 Jay Belanger <jay.p.belanger@gmail.com> | |
15617 | ||
15618 | * calc/calc-ext.el (calc-init-extensions): Add `calc-kron' and | |
15619 | `calcFunc-kron' to autoloads. Add keybinding for `calc-kron'. | |
15620 | ||
15621 | 2008-04-07 Michael Albinus <michael.albinus@gmx.de> | |
15622 | ||
15623 | * net/tramp.el (tramp-methods): Fix again tramp-copy-args of | |
15624 | "pscp" and "psftp". Reported by Gilles Pion <gpion@lfdj.com>. | |
15625 | ||
15626 | 2008-04-07 Stefan Monnier <monnier@iro.umontreal.ca> | |
15627 | ||
15628 | * dired-aux.el (dired-read-shell-command): Use read-shell-command. | |
15629 | ||
15630 | 2008-04-07 Sam Steingold <sds@gnu.org> | |
15631 | ||
15632 | * progmodes/inf-lisp.el (lisp-compile-string, lisp-eval-string): | |
15633 | Add helper functions. | |
15634 | (lisp-do-defun): Extract the common part of lisp-eval-defun and | |
15635 | lisp-compile-defun; DEFVAR forms reset the variables to the init | |
15636 | values, just like in emacs-lisp mode eval-defun. | |
15637 | (lisp-eval-defun, lisp-compile-defun): Use lisp-do-defun. | |
15638 | (lisp-compile-region): Use lisp-compile-string. | |
15639 | ||
15640 | 2008-04-07 Stefan Monnier <monnier@iro.umontreal.ca> | |
15641 | ||
15642 | * subr.el (combine-and-quote-strings): Also quote strings that contain | |
15643 | the separator. | |
15644 | ||
15645 | * pcvs-util.el (cvs-map): Avoid recursion :-( | |
15646 | ||
15647 | 2008-04-07 Glenn Morris <rgm@gnu.org> | |
15648 | ||
15649 | * calendar/calendar.el (calendar-mode-map): Replace use of kbd. | |
15650 | ||
15651 | * calendar/calendar.el (diary-view-entries-initially-flag): Rename | |
15652 | view-diary-entries-initially. Keep old name as alias, update users. | |
15653 | (calendar-mark-diary-entries-flag): Rename | |
15654 | mark-diary-entries-in-calendar. Keep old name as alias, update users. | |
15655 | (calendar-view-holidays-initially-flag): Rename | |
15656 | view-calendar-holidays-initially. Keep old name as alias, update users. | |
15657 | (calendar-mark-holidays-flag): Rename mark-holidays-in-calendar. | |
15658 | Keep old name as alias, update users. | |
15659 | (calendar-initial-window-hook): Rename initial-calendar-window-hook. | |
15660 | Keep old name as alias, update users. | |
15661 | (calendar-today-visible-hook): Rename today-visible-calendar-hook. | |
15662 | Keep old name as alias, update users. | |
15663 | (calendar-today-invisible-hook): Rename today-invisible-calendar-hook. | |
15664 | Keep old name as alias, update users. | |
15665 | (diary-iso-date-forms): Rename iso-date-diary-pattern. Update users. | |
15666 | (diary-american-date-forms): Rename american-date-diary-pattern. | |
15667 | Keep old name as alias, update users. | |
15668 | (diary-european-date-forms): Rename european-date-diary-pattern. | |
15669 | Keep old name as alias, update users. | |
15670 | (calendar-iso-date-display-form): Rename iso-calendar-display-form. | |
15671 | Keep old name as alias, update users. | |
15672 | (calendar-european-date-display-form): Rename | |
15673 | european-calendar-display-form. Keep old name as alias, update users. | |
15674 | (calendar-american-date-display-form): Rename | |
15675 | european-calendar-display-form. Keep old name as alias, update users. | |
15676 | (diary-show-holidays-flag): Rename holidays-in-diary-buffer. | |
15677 | Keep old name as alias, update users. | |
15678 | (holiday-general-holidays): Rename general-holidays. | |
15679 | Keep old name as alias, update users. | |
15680 | (holiday-oriental-holidays): Rename oriental-holidays. | |
15681 | Keep old name as alias, update users. | |
15682 | (holiday-local-holidays): Rename local-holidays. | |
15683 | Keep old name as alias, update users. | |
15684 | (holiday-other-holidays): Rename other-holidays. | |
15685 | Keep old name as alias, update users. | |
15686 | (holiday-hebrew-holidays): Rename hebrew-holidays. | |
15687 | Keep old name as alias, update users. | |
15688 | (holiday-christian-holidays): Rename christian-holidays. | |
15689 | Keep old name as alias, update users. | |
15690 | (holiday-islamic-holidays): Rename islamic-holidays. | |
15691 | Keep old name as alias, update users. | |
15692 | (holiday-bahai-holidays): Rename bahai-holidays. | |
15693 | Keep old name as alias, update users. | |
15694 | (holiday-solar-holidays): Rename solar-holidays. | |
15695 | Keep old name as alias, update users. | |
15696 | (diary-fancy-buffer): Rename fancy-diary-buffer. | |
15697 | Keep old name as alias, update users. | |
15698 | (calendar-other-calendars-buffer): Rename other-calendars-buffer. | |
15699 | Update users. | |
15700 | (calendar-hebrew-yahrzeit-buffer): Rename cal-hebrew-yahrzeit-buffer. | |
15701 | Update users. | |
15702 | (calendar-increment-month): Rename increment-calendar-month. | |
15703 | Keep old name as alias, update callers. | |
15704 | (calendar-increment-month-cons): Rename old calendar-increment-month. | |
15705 | Update callers. | |
15706 | (calendar-extract-month): Rename extract-calendar-month. | |
15707 | Keep old name as alias, update callers. | |
15708 | (calendar-extract-day): Rename extract-calendar-day. | |
15709 | Keep old name as alias, update callers. | |
15710 | (calendar-extract-year): Rename extract-calendar-year. | |
15711 | Keep old name as alias, update callers. | |
15712 | (calendar-generate-window): Rename generate-calendar-window. | |
15713 | Update callers. | |
15714 | (calendar-generate): Rename generate-calendar. Update callers. | |
15715 | (calendar-generate-month): Rename generate-calendar-month. | |
15716 | Update callers. | |
15717 | (calendar-redraw): Rename redraw-calendar. Update callers. | |
15718 | (calendar-describe-mode): Rename describe-calendar-mode. Update uses. | |
15719 | (calendar-mouse-other-month): Rename mouse-calendar-other-month. | |
15720 | Update callers. | |
15721 | (calendar-update-mode-line): Rename update-calendar-mode-line. | |
15722 | Update callers. | |
15723 | (calendar-exit): Rename exit-calendar. Keep old name as alias, | |
15724 | update callers. | |
15725 | (calendar-mark-visible-date): Rename mark-visible-calendar-date. | |
15726 | Keep old name as alias, update callers. | |
15727 | * calendar/cal-bahai.el, calendar/cal-china.el, calendar/cal-coptic.el: | |
15728 | * calendar/cal-dst.el, calendar/cal-french.el, calendar/cal-hebrew.el: | |
15729 | * calendar/cal-html.el, calendar/cal-islam.el, calendar/cal-iso.el: | |
15730 | * calendar/cal-julian.el, calendar/cal-menu.el, calendar/cal-move.el: | |
15731 | * calendar/cal-persia.el, calendar/cal-tex.el, calendar/cal-x.el: | |
15732 | * calendar/diary-lib.el, calendar/holidays.el, calendar/lunar.el: | |
15733 | * calendar/solar.el: Update for calendar.el name changes. | |
15734 | * org/org.el (org-agenda-format-date-aligned) | |
15735 | (org-agenda-execute-calendar-command): Access date elements directly | |
15736 | rather than using calendar functions. | |
15737 | (org-read-date, org-goto-calendar, org-agenda-goto-calendar): | |
15738 | Also set calendar-view-diary-initially-flag, | |
15739 | calendar-view-holidays-initially-flag. | |
15740 | (org-get-entries-from-diary): Also set diary-fancy-buffer. | |
15741 | (org-agenda-execute-calendar-command): No need to set displayed-day. | |
15742 | ||
15743 | 2008-04-06 Alan Mackenzie <acm@muc.de> | |
15744 | ||
15745 | * progmodes/cc-langs.el (c-before-font-lock-function): Correct a | |
15746 | typo in the doc string. | |
15747 | ||
15748 | * progmodes/cc-mode.el (c-basic-common-init): | |
15749 | Set font-lock-extend-after-change-region-function. | |
15750 | (c-extend-after-change-region): New function, used in | |
15751 | font-lock-extend-after-change-region-function, thus superseding | |
15752 | advice on the Font Lock after change functions. | |
15753 | (c-advise-fl-for-region): Remove this macro. | |
15754 | ||
15755 | 2008-04-06 Reiner Steib <Reiner.Steib@gmx.de> | |
15756 | ||
15757 | * textmodes/flyspell.el (flyspell-duplicate-distance): | |
15758 | Improve custom type. | |
15759 | ||
15760 | 2008-04-06 Glenn Morris <rgm@gnu.org> | |
15761 | ||
15762 | * calendar/diary-lib.el (diary-sexp-entry-symbol): | |
15763 | Rename sexp-diary-entry-symbol. Keep old name as alias, update users. | |
15764 | (diary-list-entries-hook): Rename list-diary-entries-hook. | |
15765 | Keep old name as alias, update users. | |
15766 | (diary-mark-entries-hook): Rename mark-diary-entries-hook. | |
15767 | Keep old name as alias, update users. | |
15768 | (diary-nongregorian-listing-hook): Rename | |
15769 | nongregorian-diary-listing-hook. Keep old name as alias, update users. | |
15770 | (diary-nongregorian-marking-hook): Rename | |
15771 | nongregorian-diary-marking-hook. Keep old name as alias, update users. | |
15772 | (diary-print-entries-hook): Rename print-diary-entries-hook. | |
15773 | Keep old name as alias, update users. | |
15774 | (diary-abbreviated-year-flag): Rename abbreviated-calendar-year. | |
15775 | Keep old name as alias, update users. | |
15776 | (diary-number-of-entries): Rename number-of-diary-entries. | |
15777 | Keep old name as alias, update users. | |
15778 | (view-diary-entries, list-diary-entries, show-all-diary-entries): | |
15779 | Give version of obsolescence. | |
15780 | (diary-view-other-diary-entries): Rename view-other-diary-entries. | |
15781 | Keep old name as alias, update callers. | |
15782 | (diary-add-to-list): Rename add-to-diary-list. Keep old name as alias, | |
15783 | update callers. | |
15784 | (diary-include-other-diary-files): Rename include-other-diary-files. | |
15785 | Keep old name as alias, update callers. | |
15786 | (diary-simple-display): Rename simple-diary-display. | |
15787 | Keep old name as alias, update callers. | |
15788 | (diary-fancy-display): Rename fancy-diary-display. | |
15789 | Keep old name as alias, update callers. | |
15790 | (diary-print-entries): Rename print-diary-entries. | |
15791 | Keep old name as alias, update callers. | |
15792 | (diary-marking-entries-flag): Rename marking-diary-entries. | |
15793 | Update users. | |
15794 | (diary-marking-entry-flag): Rename marking-diary-entry. Update users. | |
15795 | (diary-mark-entries): Rename mark-diary-entries. | |
15796 | Keep old name as alias, update callers. | |
15797 | (diary-mark-sexp-entries): Rename mark-sexp-diary-entries. | |
15798 | Keep old name as alias, update callers. | |
15799 | (diary-mark-included-diary-files): Rename mark-included-diary-files. | |
15800 | Keep old name as alias, update callers. | |
15801 | (calendar-mark-days-named): Rename mark-calendar-days-named. | |
15802 | Keep old name as alias, update callers. | |
15803 | (calendar-mark-month): Rename mark-calendar-month. | |
15804 | Keep old name as alias, update callers. | |
15805 | (calendar-mark-date-pattern): Rename mark-calendar-date-pattern. | |
15806 | Keep old name as alias, update callers. | |
15807 | (diary-sort-entries): Rename sort-diary-entries. | |
15808 | Keep old name as alias, update callers. | |
15809 | (diary-list-sexp-entries): Rename list-sexp-diary-entries. | |
15810 | Keep old name as alias, update callers. | |
15811 | (diary-make-entry): Rename make-diary-entry. Keep old name as alias, | |
15812 | update callers. | |
15813 | (diary-insert-entry): Rename insert-diary-entry. | |
15814 | Keep old name as alias. | |
15815 | (diary-insert-weekly-entry): Rename insert-weekly-diary-entry. | |
15816 | Keep old name as alias. | |
15817 | (diary-insert-monthly-entry): Rename insert-monthly-diary-entry. | |
15818 | Keep old name as alias. | |
15819 | (diary-insert-yearly-entry): Rename insert-yearly-diary-entry. | |
15820 | Keep old name as alias. | |
15821 | (diary-insert-anniversary-entry): Rename insert-anniversary-diary-entry. | |
15822 | Keep old name as alias. | |
15823 | (diary-insert-block-entry): Rename insert-block-diary-entry. | |
15824 | Keep old name as alias. | |
15825 | (diary-insert-cyclic-entry): Rename insert-cyclic-diary-entry. | |
15826 | Keep old name as alias. | |
15827 | (diary-fancy-font-lock-keywords): Rename fancy-diary-font-lock-keywords. | |
15828 | Keep old name as alias, update users. | |
15829 | (diary-fancy-display-mode): Rename fancy-diary-display-mode. | |
15830 | Keep old name as alias, update callers. | |
15831 | * calendar/cal-bahai.el, calendar/cal-hebrew.el, calendar/cal-islam.el: | |
15832 | * calendar/cal-menu.el, calendar/cal-x.el, calendar/calendar.el: | |
15833 | * calendar/icalendar.el: Update for diary-lib name changes. | |
15834 | ||
15835 | 2008-04-06 Chong Yidong <cyd@stupidchicken.com> | |
15836 | ||
15837 | * dired-aux.el (dired-overwrite-confirmed): Revert last change. | |
15838 | ||
15839 | * dired.el (dired-dnd-handle-local-file): Obey dired-backup-overwrite | |
15840 | for copy, move, and link operations. | |
15841 | ||
15842 | 2008-04-06 Michael Kifer <kifer@cs.stonybrook.edu> | |
15843 | ||
15844 | * emulation/viper-init.el, emulation/viper-ex.el, emulation/viper-cmd.el | |
15845 | (viper-search-wrap-around-t): Replace with viper-search-wrap-around. | |
15846 | ||
15847 | * ediff-util.el, ediff-vers.el, ediff-wind.el: Replace 3-argument | |
15848 | 'require' statements with 1-argument ones (wrapped in if's). | |
15849 | For compatibility with the current stable version of XEmacs. | |
15850 | ||
15851 | 2008-04-06 Dan Nicolaescu <dann@ics.uci.edu> | |
15852 | ||
15853 | * vc.el (vc-status-prepare-status-buffer): Reset vc-parent-buffer-name. | |
15854 | ||
15855 | 2008-04-06 Jason Rumney <jasonr@gnu.org> | |
15856 | ||
15857 | * language/burmese.el ("Burmese"): Make sample text consistent with | |
15858 | language name. | |
15859 | ||
15860 | 2008-04-06 Nick Roberts <nickrob@snap.net.nz> | |
15861 | ||
15862 | * progmodes/gdb-ui.el (gdb): New group. | |
15863 | (gdb-debug-log-max, gdb-enable-debug) | |
15864 | (gdb-cpp-define-alist-program, gdb-cpp-define-alist-flags) | |
15865 | (gdb-show-main, gdb-many-windows, gdb-use-separate-io-buffer) | |
15866 | (gdb-speedbar-auto-raise, gdb-use-colon-colon-notation) | |
15867 | (gdb-show-changed-values, gdb-max-children) | |
15868 | (gdb-delete-out-of-scope, gdb-same-frame, gdb-find-source-frame) | |
15869 | (breakpoint-enabled, breakpoint-disabled, gdb-max-frames) | |
15870 | (gdb-all-registers, gdb-memory-repeat-count, gdb-memory-format) | |
15871 | (gdb-memory-unit): Move to new group from GUD group. | |
15872 | (menu): Allow customization from GDB-UI menu-item. | |
15873 | ||
15874 | 2008-04-05 Chong Yidong <cyd@stupidchicken.com> | |
15875 | ||
15876 | * dired-aux.el (dired-overwrite-confirmed): Supply initial value. | |
15877 | ||
15878 | 2008-04-05 Stefan Monnier <monnier@iro.umontreal.ca> | |
15879 | ||
15880 | * vc-bzr.el (vc-bzr-log-view-mode, vc-bzr-annotate-command) | |
15881 | (vc-bzr-annotate-time, vc-bzr-annotate-extract-revision-at-line): | |
15882 | Revision numbers can include ".". | |
15883 | ||
15884 | * diff-mode.el (diff-end-of-hunk): Be careful not to overlook trailing | |
15885 | "+" lines not accounted for by counting "-" and context lines. | |
15886 | ||
15887 | 2008-04-05 YAMAMOTO Mitsuharu <mituharu@math.s.chiba-u.ac.jp> | |
15888 | ||
15889 | * term/mac-win.el (mac-service-open-file): Use file URL instead of | |
15890 | file name string. | |
15891 | ||
15892 | 2008-04-05 Stefan Monnier <monnier@iro.umontreal.ca> | |
15893 | ||
15894 | * vc-bzr.el (vc-bzr-annotate-command): Preserve line alignment. | |
15895 | (vc-bzr-annotate-time): Accept space used to preserve alignment. | |
15896 | ||
15897 | 2008-04-05 Richard Stallman <rms@gnu.org> | |
15898 | ||
15899 | * emacs-lisp/advice.el (defadvice): Add usage pattern. | |
15900 | ||
15901 | 2008-04-05 Nick Roberts <nickrob@snap.net.nz> | |
15902 | ||
15903 | * progmodes/gdb-ui.el: Add advice about using Cygwin GDB (from a | |
15904 | thread in [h-e-w]). | |
15905 | ||
15906 | 2008-04-05 Juanma Barranquero <lekktu@gmail.com> | |
15907 | ||
15908 | * files.el (abort-if-file-too-large): Fix typo in docstring. | |
15909 | ||
15910 | 2008-04-05 Stefan Monnier <monnier@iro.umontreal.ca> | |
15911 | ||
15912 | * subr.el (functionp): Return nil for special forms. | |
15913 | ||
15914 | 2008-04-05 Glenn Morris <rgm@gnu.org> | |
15915 | ||
15916 | * emacs-lisp/autoload.el (autoload-ensure-default-file): | |
15917 | Provide a feature. | |
15918 | * calendar/calendar.el, calendar/diary-lib.el, calendar/holidays.el: | |
15919 | Require loaddefs file rather than loading it. | |
15920 | * Makefile.in ($(lisp)/mh-e/mh-loaddefs.el): Simplify rule now that | |
15921 | autoload-ensure-default-file provides a feature. | |
15922 | ||
15923 | * Makefile.in (LOADDEFS): Add mh-loaddefs.el. | |
15924 | (AUTOGENEL): mh-loaddefs is in $LOADDEFS now. | |
15925 | (compile, compile-always, recompile): Use $LOADDEFS. | |
15926 | (cal-autoloads): Remove. | |
15927 | ||
15928 | * calendar/cal-x.el (calendar-dedicate-diary): Use get-buffer rather | |
15929 | than buffer-live-p. Reported by David Koppelman <koppel@ece.lsu.edu>. | |
15930 | ||
15931 | * calendar/calendar.el (hebrew-holidays-1, hebrew-holidays-2) | |
15932 | (hebrew-holidays-3, hebrew-holidays-4): Don't autoload obsolescence. | |
15933 | ||
15934 | * calendar/calendar.el (diary-hebrew-entry-symbol): | |
15935 | Rename hebrew-diary-entry-symbol. Keep old name as alias. | |
15936 | (diary-islamic-entry-symbol): Rename islamic-diary-entry-symbol. | |
15937 | Keep old name as alias. | |
15938 | (diary-bahai-entry-symbol): Rename bahai-diary-entry-symbol. | |
15939 | Keep old name as alias. | |
15940 | * calendar/cal-bahai.el: Update for rename bahai-diary-entry-symbol | |
15941 | to diary-bahai-entry-symbol. | |
15942 | * calendar/cal-hebrew.el: Update for rename hebrew-diary-entry-symbol | |
15943 | to diary-hebrew-entry-symbol. | |
15944 | * calendar/cal-islam.el: Update for rename islamic-diary-entry-symbol | |
15945 | to diary-islamic-entry-symbol. | |
15946 | * calendar/diary-lib.el: Update for diary-entry-symbol renames. | |
15947 | ||
15948 | * calendar/solar.el (diary-sabbath-candles-minutes) | |
15949 | (diary-sabbath-candles): Move to cal-hebrew. | |
15950 | * calendar/cal-hebrew.el (diary-hebrew-sabbath-candles-minutes) | |
15951 | (diary-hebrew-sabbath-candles): Move here from solar.el and rename. | |
15952 | Doc fix. Keep old name as alias. | |
15953 | (diary-hebrew-sabbath-candles): Simplify. | |
15954 | (solar-setup, solar-sunrise-sunset, calendar-latitude) | |
15955 | (calendar-longitude, calendar-time-zone): Declare for compiler. | |
15956 | * calendar/diary-lib.el (list-sexp-diary-entries): Update doc for | |
15957 | rename. | |
15958 | ||
15959 | * calendar/cal-coptic.el (calendar-coptic-month-name-array): | |
15960 | Rename coptic-calendar-month-name-array. Update callers. | |
15961 | (calendar-coptic-epoch): Rename coptic-calendar-epoch. Update callers. | |
15962 | (calendar-coptic-name): Rename coptic-name. Update callers. | |
15963 | (calendar-coptic-leap-year-p): Rename coptic-calendar-leap-year-p. | |
15964 | Update callers. | |
15965 | (calendar-coptic-last-day-of-month): | |
15966 | Rename coptic-calendar-last-day-of-month. Update callers. | |
15967 | (calendar-coptic-to-absolute): Rename calendar-absolute-from-coptic. | |
15968 | Keep old name as alias, update callers. | |
15969 | (calendar-coptic-print-date): Rename calendar-print-coptic-date. | |
15970 | Keep old name as alias, update callers. | |
15971 | (calendar-coptic-goto-date): Rename calendar-goto-coptic-date. | |
15972 | Keep old name as alias. | |
15973 | (calendar-ethiopic-month-name-array): | |
15974 | Rename ethiopic-calendar-month-name-array. Update callers. | |
15975 | (calendar-ethiopic-epoch): Rename ethiopic-calendar-epoch. | |
15976 | Update callers. | |
15977 | (calendar-ethiopic-name): Rename ethiopic-name. Update callers. | |
15978 | (calendar-ethiopic-to-absolute): Rename calendar-absolute-from-ethiopic. | |
15979 | Keep old name as alias, update callers. | |
15980 | (calendar-ethiopic-print-date): Rename calendar-print-ethiopic-date. | |
15981 | Keep old name as alias, update callers. | |
15982 | (calendar-ethiopic-goto-date): Rename calendar-goto-ethiopic-date. | |
15983 | Keep old name as alias. | |
15984 | ||
15985 | * calendar/cal-french.el (calendar-french-epoch): | |
15986 | Rename french-calendar-epoch. Update callers. | |
15987 | (calendar-french-month-name-array): Rename variable and function | |
15988 | french-calendar-month-name-array. Update callers. | |
15989 | (calendar-french-multibyte-month-name-array): | |
15990 | Rename french-calendar-multibyte-month-name-array. Update callers. | |
15991 | (calendar-french-day-name-array): Rename variable and function | |
15992 | french-calendar-day-name-array. Update callers. | |
15993 | (calendar-french-special-days-array): Rename variable and function | |
15994 | french-calendar-special-days-array. Update callers. | |
15995 | (calendar-french-multibyte-special-days-array): | |
15996 | Rename french-calendar-multibyte-special-days-array. Update callers. | |
15997 | (calendar-french-accents-p): Rename french-calendar-accents. | |
15998 | Update callers. | |
15999 | (calendar-french-leap-year-p): Rename french-calendar-leap-year-p. | |
16000 | Update callers. | |
16001 | (calendar-french-last-day-of-month): | |
16002 | Rename french-calendar-last-day-of-month. Update callers. | |
16003 | (calendar-french-to-absolute): Rename calendar-absolute-from-french. | |
16004 | Keep old name as alias, update callers. | |
16005 | (calendar-french-print-date): Rename calendar-print-french-date. | |
16006 | Keep old name as alias, update callers. | |
16007 | (calendar-french-goto-date): Rename calendar-goto-french-date. | |
16008 | Keep old name as alias. | |
16009 | ||
16010 | * calendar/cal-menu.el, calendar/calendar.el: | |
16011 | Update for Coptic and French name changes. | |
16012 | ||
16013 | * calendar/cal-china.el (calendar-chinese): Rename custom group | |
16014 | from chinese-calendar. Update users. | |
16015 | (calendar-chinese-time-zone): Rename chinese-calendar-time-zone. | |
16016 | Keep old name as alias, update users. | |
16017 | (calendar-chinese-location-name): Rename chinese-calendar-location-name. | |
16018 | Keep old name as alias. | |
16019 | (calendar-chinese-daylight-time-offset): | |
16020 | Rename chinese-calendar-daylight-time-offset. Keep old name as alias, | |
16021 | update users. | |
16022 | (calendar-chinese-standard-time-zone-name): | |
16023 | Rename chinese-calendar-standard-time-zone-name. | |
16024 | Keep old name as alias, update users. | |
16025 | (calendar-chinese-daylight-saving-start): | |
16026 | Rename chinese-calendar-daylight-savings-starts. | |
16027 | Keep old name as alias, update users. | |
16028 | (calendar-chinese-daylight-saving-end): | |
16029 | Rename chinese-calendar-daylight-savings-ends. Keep old name as alias, | |
16030 | update users. | |
16031 | (calendar-chinese-daylight-saving-start-time): | |
16032 | Rename chinese-calendar-daylight-savings-starts-time. | |
16033 | Keep old name as alias, update users. | |
16034 | (calendar-chinese-daylight-saving-end-time): | |
16035 | Rename chinese-calendar-daylight-savings-ends-time. | |
16036 | Keep old name as alias, update users. | |
16037 | (calendar-chinese-celestial-stem): Rename | |
16038 | calendar-chinese-celestial-stem. Keep old name as alias, update users. | |
16039 | (calendar-chinese-terrestrial-branch): | |
16040 | Rename calendar-chinese-terrestrial-branch. Keep old name as alias, | |
16041 | update users. | |
16042 | (calendar-chinese-zodiac-sign-on-or-after): | |
16043 | Rename chinese-zodiac-sign-on-or-after. Update callers. | |
16044 | (calendar-chinese-new-moon-on-or-after): | |
16045 | Rename chinese-new-moon-on-or-after. Update callers. | |
16046 | (calendar-chinese-month-list): Rename chinese-month-list. | |
16047 | Update callers. | |
16048 | (calendar-chinese-number-months): Rename number-chinese-months. | |
16049 | Update callers. | |
16050 | (calendar-chinese-compute-year): Rename compute-chinese-year. | |
16051 | Update callers. | |
16052 | (calendar-chinese-year-cache): Rename chinese-year-cache. Update users. | |
16053 | (calendar-chinese-year): Rename chinese-year. Update callers. | |
16054 | (calendar-chinese-year-cache-init): Rename chinese-year-cache-init. | |
16055 | (calendar-chinese-to-absolute): Rename calendar-absolute-from-chinese. | |
16056 | Keep old name as alias, update callers. | |
16057 | (calendar-chinese-print-date): Rename calendar-print-chinese-date. | |
16058 | Keep old name as alias, update callers. | |
16059 | (calendar-chinese-months-to-alist): | |
16060 | Rename make-chinese-month-assoc-list. Update callers. | |
16061 | (calendar-chinese-months): Rename chinese-months. Update callers. | |
16062 | (calendar-chinese-goto-date): Rename calendar-goto-chinese-date. | |
16063 | Keep old name as alias, update callers. | |
16064 | ||
16065 | * calendar/cal-hebrew.el (calendar-hebrew-leap-year-p): | |
16066 | Rename hebrew-calendar-leap-year-p. Update callers. | |
16067 | (calendar-hebrew-last-month-of-year): | |
16068 | Rename hebrew-calendar-last-month-of-year. Update callers. | |
16069 | (calendar-hebrew-elapsed-days): Rename hebrew-calendar-elapsed-days. | |
16070 | Update callers. | |
16071 | (calendar-hebrew-days-in-year): Rename hebrew-calendar-days-in-year. | |
16072 | Update callers. | |
16073 | (calendar-hebrew-long-heshvan-p): Rename hebrew-calendar-long-heshvan-p. | |
16074 | Update callers. | |
16075 | (calendar-hebrew-short-kislev-p): Rename hebrew-calendar-short-kislev-p. | |
16076 | Update callers. | |
16077 | (calendar-hebrew-last-day-of-month): | |
16078 | Rename hebrew-calendar-last-day-of-month. Update callers. | |
16079 | (calendar-hebrew-to-absolute): Rename calendar-absolute-from-hebrew. | |
16080 | Keep old name as alias, update callers. | |
16081 | (calendar-hebrew-print-date): Rename calendar-print-hebrew-date. | |
16082 | Keep old name as alias, update callers. | |
16083 | (calendar-hebrew-yahrzeit): Rename hebrew-calendar-yahrzeit. | |
16084 | Keep old name as alias, update callers. | |
16085 | (calendar-hebrew-goto-date): Rename calendar-goto-hebrew-date. | |
16086 | Keep old name as alias. | |
16087 | (holiday-hebrew-rosh-hashanah): Rename holiday-rosh-hashanah-etc. | |
16088 | Keep old name as alias. | |
16089 | (holiday-hebrew-hanukkah): Rename holiday-hanukkah. | |
16090 | Keep old name as alias. | |
16091 | (holiday-hebrew-passover): Rename holiday-passover-etc. | |
16092 | Keep old name as alias. | |
16093 | (holiday-hebrew-tisha-b-av): Rename holiday-tisha-b-av-etc. | |
16094 | Keep old name as alias, update callers. | |
16095 | (diary-hebrew-list-entries): Rename list-hebrew-diary-entries. | |
16096 | Keep old name as alias. | |
16097 | (calendar-hebrew-mark-date-pattern): | |
16098 | Rename mark-hebrew-calendar-date-pattern. Keep old name as alias, | |
16099 | update callers. | |
16100 | (diary-hebrew-mark-entries): Rename mark-hebrew-diary-entries. | |
16101 | Keep old name as alias. | |
16102 | (diary-hebrew-insert-entry): Rename insert-hebrew-diary-entry. | |
16103 | Keep old name as alias. | |
16104 | (diary-hebrew-insert-monthly-entry): | |
16105 | Rename insert-monthly-hebrew-diary-entry. Keep old name as alias. | |
16106 | (diary-hebrew-insert-yearly-entry): | |
16107 | Rename insert-yearly-hebrew-diary-entry. Keep old name as alias. | |
183080b6 | 16108 | (calendar-hebrew-list-yahrzeits): Rename list-yahrzeit-dates. |
e3d51b27 MR |
16109 | Keep old name as alias. |
16110 | (diary-hebrew-omer): Rename diary-omer. Keep old name as alias. | |
16111 | (diary-hebrew-yahrzeit): Rename diary-yahrzeit. Keep old name as alias. | |
16112 | (diary-hebrew-rosh-hodesh): Rename diary-rosh-hodesh. | |
16113 | Keep old name as alias. | |
16114 | (calendar-hebrew-parashiot-names): | |
16115 | Rename hebrew-calendar-parashiot-names. Update callers. | |
16116 | (calendar-hebrew-parasha-name): Rename hebrew-calendar-parasha-name. | |
16117 | (calendar-hebrew-year-Saturday-incomplete-Sunday): | |
16118 | Rename hebrew-calendar-year-Saturday-incomplete-Sunday. | |
16119 | (calendar-hebrew-year-Saturday-complete-Tuesday): | |
16120 | Rename hebrew-calendar-year-Saturday-complete-Tuesday. | |
16121 | (calendar-hebrew-year-Monday-incomplete-Tuesday): | |
16122 | Rename hebrew-calendar-year-Monday-incomplete-Tuesday. | |
16123 | (calendar-hebrew-year-Monday-complete-Thursday): | |
16124 | Rename hebrew-calendar-year-Monday-complete-Thursday. | |
16125 | (calendar-hebrew-year-Tuesday-regular-Thursday): | |
16126 | Rename hebrew-calendar-year-Tuesday-regular-Thursday. | |
16127 | (calendar-hebrew-year-Thursday-regular-Saturday): | |
16128 | Rename hebrew-calendar-year-Thursday-regular-Saturday. | |
16129 | (calendar-hebrew-year-Thursday-complete-Sunday): | |
16130 | Rename hebrew-calendar-year-Thursday-complete-Sunday. | |
16131 | (calendar-hebrew-year-Saturday-incomplete-Tuesday): | |
16132 | Rename hebrew-calendar-year-Saturday-incomplete-Tuesday. | |
16133 | (calendar-hebrew-year-Saturday-complete-Thursday): | |
16134 | Rename hebrew-calendar-year-Saturday-complete-Thursday. | |
16135 | (calendar-hebrew-year-Monday-incomplete-Thursday): | |
16136 | Rename hebrew-calendar-year-Monday-incomplete-Thursday. | |
16137 | (calendar-hebrew-year-Monday-complete-Saturday): | |
16138 | Rename hebrew-calendar-year-Monday-complete-Saturday. | |
16139 | (calendar-hebrew-year-Tuesday-regular-Saturday): | |
16140 | Rename hebrew-calendar-year-Tuesday-regular-Saturday. | |
16141 | (calendar-hebrew-year-Thursday-incomplete-Sunday): | |
16142 | Rename hebrew-calendar-year-Thursday-incomplete-Sunday. | |
16143 | (calendar-hebrew-year-Thursday-complete-Tuesday): | |
16144 | Rename hebrew-calendar-year-Thursday-complete-Tuesday. | |
16145 | (diary-hebrew-parasha): Rename diary-parasha. Keep old name as alias. | |
16146 | Update for above name changes of constants. | |
16147 | ||
16148 | * calendar/cal-menu.el, calendar/calendar.el: | |
16149 | Update for chinese and hebrew name changes. | |
16150 | * calendar/diary-lib.el: Update for hebrew name changes. | |
16151 | ||
16152 | 2008-04-05 Stefan Monnier <monnier@iro.umontreal.ca> | |
16153 | ||
16154 | * subr.el (keymap-canonicalize): Correct thinko. | |
16155 | ||
16156 | * server.el: Undo part of the multi-tty change, which is only | |
16157 | needed if server.el is preloaded, and broke server-running-p. | |
16158 | (server-socket-dir): Initialize in the defvar, as before. | |
16159 | (server-start): Remove initialization of server-socket-dir. | |
16160 | ||
16161 | 2008-04-05 Eli Zaretskii <eliz@gnu.org> | |
16162 | ||
16163 | * ls-lisp.el (ls-lisp-format): Support inodes that are 2- or | |
16164 | 3-member cons cells. | |
16165 | ||
16166 | 2008-04-05 Chong Yidong <cyd@stupidchicken.com> | |
16167 | ||
16168 | * cus-face.el (custom-face-attributes): Handle roman slant. | |
16169 | ||
16170 | * faces.el (default): Ensure the face-defface-spec property is set. | |
16171 | ||
16172 | 2008-04-05 Adrian Robert <Adrian.B.Robert@gmail.com> | |
16173 | ||
16174 | * files.el (abort-if-file-too-large): New function. | |
16175 | (find-file-noselect, insert-file-1): Use it. | |
16176 | ||
16177 | 2008-04-05 Reto Zimmermann <reto@gnu.org> | |
16178 | ||
16179 | * progmodes/vhdl-mode.el (vhdl-mode-map-init): | |
16180 | Replace C-c[a-zA-Z] key bindings. | |
16181 | ||
16182 | 2008-04-05 Stefan Monnier <monnier@iro.umontreal.ca> | |
16183 | ||
16184 | * progmodes/hideif.el (hif-token-alist): New var. | |
16185 | (hif-token-regexp, hif-tokenize): Use it. | |
16186 | (hif-mathify-binop): New macro. | |
16187 | (hif-plus, hif-minus, hif-notequal, hif-greater, hif-less) | |
16188 | (hif-greater-equal, hif-less-equal): Use it. | |
16189 | (hif-logior, hif-logand): New functions. | |
16190 | (hif-math): Accept | and & as well. | |
16191 | ||
16192 | * progmodes/etags.el: Fix problem with completion for buffer-local | |
16193 | tables. Reported by Radey Shouman <shouman@comcast.net>. | |
16194 | (tags-complete-tag): Remove. | |
16195 | (tags-lazy-completion-table): New function to replace it. | |
16196 | (find-tag-tag, complete-tag): Update users. | |
16197 | ||
16198 | 2008-04-04 Dan Nicolaescu <dann@ics.uci.edu> | |
16199 | ||
16200 | * vc-rcs.el (vc-rcs-dir-status): | |
16201 | * vc-sccs.el (vc-sccs-dir-status): New function. | |
16202 | ||
16203 | * outline.el (outline-mode-menu-bar-map): | |
16204 | * term.el (terminal-signal-menu): Add :help. | |
16205 | ||
16206 | * net/eudc.el (eudc-mode-map): Declare and define in one step. | |
16207 | (eudc-tail-menu, eudc-server-menu, eudc-tools-menu): Add :help. | |
16208 | ||
16209 | * emacs-lisp/re-builder.el (reb-mode-map): | |
16210 | * textmodes/nroff-mode.el (nroff-mode-map): Add menus. | |
16211 | ||
16212 | * diff-mode.el (diff-file-junk-re): Recognize the git format for | |
16213 | new files, deleted files and for changing permissions. | |
16214 | (diff-mode): Set beginning-of-defun-function and | |
16215 | end-of-defun-function. | |
16216 | ||
16217 | * vc-bzr.el (vc-bzr-state): Use when instead of if. | |
16218 | ||
16219 | * vc.el (vc-default-status-fileinfo-extra): New function. | |
16220 | (vc-status-mark-buffer-changed): Use it. | |
16221 | (vc-update-vc-status-buffer): Allow for partial updates. | |
16222 | ||
16223 | 2008-04-04 Stefan Monnier <monnier@iro.umontreal.ca> | |
16224 | ||
16225 | * net/tramp.el (after-init-hook): Don't wrap a lambda around | |
16226 | tramp-register-completion-file-name-handler. | |
16227 | ||
16228 | * subr.el (keymap-canonicalize): New function. | |
16229 | * mouse.el (mouse-menu-non-singleton): Use it. | |
16230 | (mouse-major-mode-menu): Remove hack made unnecessary. | |
16231 | ||
16232 | * simple.el (set-fill-column): Prompt rather than error by default. | |
16233 | ||
16234 | 2008-04-04 Andreas Schwab <schwab@suse.de> | |
16235 | ||
16236 | * calendar/cal-dst.el (calendar-time-zone-daylight-rules): | |
16237 | Reset new-rules after each round. | |
16238 | ||
16239 | * Makefile.in (cal-autoloads): New target. | |
16240 | (compile, compile-always, recompile): Depend on it. | |
16241 | ($(lisp)/calendar/cal-loaddefs.el) | |
16242 | ($(lisp)/calendar/diary-loaddefs.el) | |
16243 | ($(lisp)/calendar/hol-loaddefs.el): Depend on calendar/*.el. | |
16244 | ||
16245 | 2008-04-04 Michael Kifer <kifer@cs.stonybrook.edu> | |
16246 | ||
16247 | * ediff*.el: Replace load with require in eval-when-compile. | |
16248 | ||
16249 | * ediff-hook.el: Delete all invocations of (autoload ...). | |
16250 | ||
16251 | * ediff-util.el (ediff-setup): Make window-min-height a local variable | |
16252 | in ediff control window, and set its min height to 2. | |
16253 | (ediff-setup-control-buffer): Dedicate the control window. | |
16254 | (ediff-toggle-multiframe): Undedicate control window. | |
16255 | Work directly with ediff-setup-windows-multiframe and | |
16256 | ediff-setup-windows-plain. | |
16257 | ||
16258 | * ediff-wind.el (ediff-choose-window-setup-function-automatically): | |
16259 | New function. | |
16260 | (ediff-window-setup-function): Change initialization. | |
16261 | (ediff-setup-windows-automatic): Delete. | |
16262 | (ediff-setup-windows-plain-merge): Make control window dedicated. | |
16263 | (ediff-destroy-control-frame): Do not skip frames if working in a | |
16264 | single frame. | |
16265 | ||
16266 | * emulation/viper-ex.el: Move provide's forward, prevent recursion in | |
16267 | eval-when-compile. | |
16268 | ||
16269 | * emulation/viper-util.el: Move provide's forward, prevent recursion in | |
16270 | eval-when-compile. | |
16271 | ||
16272 | 2008-04-04 Glenn Morris <rgm@gnu.org> | |
16273 | ||
16274 | * calendar/cal-bahai.el (calendar-bahai-to-absolute): Rename | |
16275 | calendar-absolute-from-bahai. Update callers, keep old name as alias. | |
16276 | ||
16277 | * calendar/cal-islam.el (calendar-islamic-leap-year-p): | |
16278 | Rename islamic-calendar-leap-year-p. Update callers. | |
16279 | (calendar-islamic-last-day-of-month): | |
16280 | Rename islamic-calendar-last-day-of-month. Update callers. | |
16281 | (calendar-islamic-day-number): | |
16282 | Rename islamic-calendar-day-number. Update callers. | |
16283 | (calendar-islamic-to-absolute): Rename calendar-absolute-from-islamic. | |
16284 | Update callers, keep old name as alias. | |
16285 | (calendar-islamic-print-date): Rename calendar-print-islamic-date. | |
16286 | Update callers, keep old name as alias. | |
16287 | (calendar-islamic-goto-date): Rename calendar-goto-islamic-date. | |
16288 | Keep old name as alias. | |
16289 | (diary-islamic-list-entries): Rename list-islamic-diary-entries. | |
16290 | Update callers, keep old name as alias. | |
16291 | (calendar-islamic-mark-date-pattern): | |
16292 | Rename mark-islamic-calendar-date-pattern. Update callers, keep old | |
16293 | name as alias. | |
16294 | (diary-islamic-insert-entry): Rename insert-islamic-diary-entry. | |
16295 | Keep old name as alias. | |
16296 | (diary-islamic-insert-monthly-entry): | |
16297 | Rename insert-monthly-islamic-diary-entry. Keep old name as alias. | |
16298 | (diary-islamic-insert-yearly-entry): | |
16299 | Rename insert-yearly-islamic-diary-entry. Keep old name as alias. | |
16300 | ||
16301 | * calendar/cal-iso.el (calendar-iso-to-absolute): Rename | |
16302 | calendar-absolute-from-iso. Update callers, keep old name as alias. | |
16303 | (calendar-iso-print-date): Rename calendar-print-iso-date. | |
16304 | Update callers, keep old name as alias. | |
16305 | (calendar-iso-goto-date): Rename calendar-goto-iso-date. | |
16306 | Keep old name as alias. | |
16307 | (calendar-iso-goto-week): Rename calendar-goto-iso-week. | |
16308 | Keep old name as alias. | |
16309 | ||
16310 | * calendar/cal-julian.el (calendar-julian-to-absolute): Rename | |
16311 | calendar-absolute-from-julian. Update callers, keep old name as alias. | |
16312 | (calendar-julian-print-date): Rename calendar-print-julian-date. | |
16313 | Update callers, keep old name as alias. | |
16314 | (calendar-julian-goto-date): Rename calendar-goto-julian-date. | |
16315 | Update callers, keep old name as alias. | |
16316 | (calendar-astro-to-absolute): Rename calendar-absolute-from-astro. | |
16317 | Update callers, keep old name as alias. | |
16318 | (calendar-astro-print-day-number): | |
16319 | Rename calendar-print-astro-day-number. Update callers, keep old | |
16320 | name as alias. | |
16321 | (calendar-astro-goto-day-number): Rename calendar-goto-astro-day-number. | |
16322 | Update callers, keep old name as alias. | |
16323 | ||
16324 | * calendar/cal-mayan.el (calendar-mayan-string-from-long-count): | |
16325 | Rename calendar-string-to-mayan-long-count. Update callers. | |
16326 | (calendar-mayan-print-date): Rename calendar-print-mayan-date. | |
16327 | Update callers, keep old name as alias. | |
16328 | (calendar-mayan-read-haab-date): Rename calendar-read-mayan-haab-date. | |
16329 | Update callers. | |
16330 | (calendar-mayan-read-tzolkin-date): | |
16331 | Rename calendar-read-mayan-tzolkin-date. Update callers. | |
16332 | (calendar-mayan-next-haab-date): Rename calendar-next-haab-date. | |
16333 | Keep old name as alias. | |
16334 | (calendar-mayan-previous-haab-date): Rename calendar-previous-haab-date. | |
16335 | Keep old name as alias. | |
16336 | (calendar-mayan-next-tzolkin-date): Rename calendar-next-tzolkin-date. | |
16337 | Keep old name as alias. | |
16338 | (calendar-mayan-previous-tzolkin-date): | |
16339 | Rename calendar-previous-tzolkin-date. Keep old name as alias. | |
16340 | (calendar-mayan-next-round-date): | |
16341 | Rename calendar-next-calendar-round-date. Keep old name as alias. | |
16342 | (calendar-mayan-previous-round-date): | |
16343 | Rename calendar-previous-calendar-round-date. Keep old name as alias. | |
16344 | (calendar-mayan-long-count-to-absolute): Rename | |
16345 | calendar-absolute-from-mayan-long-count. Keep old name as alias. | |
16346 | (calendar-mayan-goto-long-count-date): | |
16347 | Rename calendar-goto-mayan-long-count-date. Keep old name as alias. | |
16348 | ||
16349 | * calendar/cal-persia.el (calendar-persian-month-name-array): | |
16350 | Rename persian-calendar-month-name-array. Update callers. | |
16351 | (calendar-persian-epoch): Rename persian-calendar-epoch. | |
16352 | Update callers. | |
16353 | (calendar-persian-leap-year-p): Rename persian-calendar-leap-year-p. | |
16354 | Update callers. | |
16355 | (calendar-persian-last-day-of-month): | |
16356 | Rename persian-calendar-last-day-of-month. Update callers. | |
16357 | (calendar-persian-to-absolute): Rename calendar-absolute-from-persian. | |
16358 | Update callers, keep old name as alias. | |
16359 | (calendar-persian-print-date): Rename calendar-print-persian-date. | |
16360 | Update callers, keep old name as alias. | |
16361 | (calendar-persian-goto-date): Rename calendar-goto-persian-date. | |
16362 | Keep old name as alias. | |
16363 | ||
16364 | * calendar/cal-china.el, calendar/cal-coptic.el, calendar/cal-islam.el: | |
16365 | * calendar/cal-persia.el, calendar/holidays.el, calendar/lunar.el: | |
16366 | * calendar/solar.el: Update for cal-julian name changes. | |
16367 | ||
16368 | * calendar/cal-dst.el: Update for persian name changes. | |
16369 | ||
16370 | * calendar/cal-menu.el, calendar/calendar.el: | |
16371 | Update for islamic, iso, julian, mayan, persian name changes. | |
16372 | ||
16373 | * calendar/diary-lib.el: Update for islamic name changes. | |
16374 | ||
16375 | * calendar/calendar.el (calendar-hebrew-all-holidays-flag): | |
16376 | Rename all-hebrew-calendar-holidays. Update callers, keep old name | |
16377 | as alias. | |
16378 | (calendar-christian-all-holidays-flag): | |
16379 | Rename all-christian-calendar-holidays. Update callers, keep old | |
16380 | name as alias. | |
16381 | (calendar-islamic-all-holidays-flag): | |
16382 | Rename all-islamic-calendar-holidays. Update callers, keep old | |
16383 | name as alias. | |
16384 | (calendar-bahai-all-holidays-flag): Rename all-bahai-calendar-holidays. | |
16385 | Update callers, keep old name as alias. | |
16386 | * calendar/cal-bahai.el, calendar/cal-hebrew.el, calendar/holidays.el: | |
16387 | Update for the above name changes. | |
16388 | ||
16389 | 2008-04-04 Juanma Barranquero <lekktu@gmail.com> | |
16390 | ||
16391 | * hilit-chg.el (global-highlight-changes-mode) | |
16392 | (highlight-changes-passive-string, highlight-changes-active-string): | |
16393 | Mark as obsolete since 23.1, not 22.1. | |
16394 | ||
16395 | 2008-04-03 Juanma Barranquero <lekktu@gmail.com> | |
16396 | ||
16397 | * hilit-chg.el (highlight-changes-visibility-initial-state) | |
16398 | (hilit-chg-update, highlight-changes-mode-turn-on): | |
16399 | Fix typos in docstrings. | |
16400 | ||
16401 | 2008-04-03 Stephen Berman <Stephen.Berman@gmx.net> | |
16402 | ||
16403 | * newcomment.el (comment-enter-backward): Be careful to restore | |
16404 | position changed during narrowing. | |
16405 | ||
16406 | 2008-04-03 Giuliano Procida <giuliano.procida@googlemail.com> (tiny change) | |
16407 | ||
16408 | * progmodes/perl-mode.el (perl-font-lock-syntactic-keywords): | |
16409 | Recognize `sub ($$)'. | |
16410 | ||
16411 | 2008-04-03 Richard Sharman <rsharman@pobox.com> | |
16412 | ||
16413 | * hilit-chg.el (highlight-changes-mode): Rename from | |
16414 | highlight-changes; no longer uses sub-modes active and passive; | |
16415 | implemented by define-minor-mode. | |
16416 | (highlight-changes-toggle-visibility): New function, to replace | |
16417 | the old passive/active submodes of global-highlight-changes-mode; | |
16418 | implemented by define-minor-mode. | |
16419 | (global-highlight-changes-mode): Rename from global-highlight-changes; | |
16420 | rewrite using define-globalized-minor-mode. | |
16421 | (hilit-chg-major-mode-hook, hilit-chg-check-global) | |
16422 | (hilit-chg-post-command-hook, hilit-chg-check-global) | |
16423 | (hilit-chg-update-all-buffers, hilit-chg-turn-off-maybe): Remove due | |
16424 | to use of define-globalized-minor-mode. | |
16425 | (highlight-changes-global-initial-state): Change to be boolean. | |
16426 | (highlight-changes-visible-string, highlight-changes-invisible-string): | |
16427 | Rename from highlight-changes-active-string and | |
16428 | highlight-changes-passive-string. | |
16429 | (hilit-chg-update, hilit-chg-set): Use them. | |
16430 | (global-highlight-changes-mode): Rename from global-highlight-changes. | |
16431 | (hilit-chg-map-changes, hilit-chg-display-changes): Add arguments to | |
16432 | docstring. | |
16433 | (hilit-chg-hide-changes): Rewrite to use dolist. | |
16434 | (hilit-chg-set-face-on-change, hilit-chg-update) | |
16435 | (highlight-changes-rotate-faces): Use highlight-changes-visible-mode | |
16436 | variable instead of testing highlight-changes-mode. | |
16437 | (highlight-markup-buffers): Add require ediff-util; argument on calls | |
16438 | to highlight-changes-mode changed. | |
16439 | (highlight-compare-with-file): Fix problems with interactive | |
16440 | call giving invalid default file. | |
16441 | ||
16442 | 2008-04-03 Nick Roberts <nickrob@snap.net.nz> | |
16443 | ||
16444 | * progmodes/gdb-ui.el (gdb-mouse-set-clear-breakpoint): | |
16445 | Fix in disassembly buffer (regression in 22.2). | |
16446 | ||
16447 | 2008-04-03 Michael Kifer <kifer@cs.stonybrook.edu> | |
16448 | ||
16449 | * emulation/viper-macs.el (viper-read-fast-keysequence): | |
16450 | Use viper-read-event instead of viper-read-key. | |
16451 | ||
16452 | * emulation/viper.el (viper-mode): Move the check for fundamental mode. | |
16453 | ||
16454 | * emulation/viper-util.el (viper-get-saved-cursor-color-in-insert-mode) | |
16455 | (viper-get-saved-cursor-color-in-replace-mode): Get rid of redundant | |
16456 | let-statements. | |
16457 | ||
16458 | * emulation/viper-ex.el, emulation/viper-macs.el: | |
16459 | * emulation/viper-mous.el: | |
16460 | Replace load with require in eval-when-compile. | |
16461 | ||
16462 | 2008-04-03 Stefan Monnier <monnier@iro.umontreal.ca> | |
16463 | ||
16464 | * files.el (auto-mode-alist): Use archive-mode for Debian packages. | |
16465 | ||
16466 | * arc-mode.el (archive-mode-map): Obey mouse-1-click-follows-link. | |
16467 | (archive-try-jka-compr): New function. | |
16468 | (archive-set-buffer-as-visiting-file): Use it. | |
16469 | ||
16470 | * tar-mode.el (tar-mode-map): Obey mouse-1-click-follows-link. | |
16471 | ||
16472 | 2008-04-03 Chong Yidong <cyd@stupidchicken.com> | |
16473 | ||
16474 | * simple.el (handle-shift-selection): New arg. | |
16475 | ||
16476 | 2008-04-03 Stefan Monnier <monnier@iro.umontreal.ca> | |
16477 | ||
16478 | * emacs-lisp/cl-macs.el (defsetf): Accept a lambda for the 2-arg form. | |
16479 | ||
16480 | * vc-bzr.el (vc-bzr-previous-revision, vc-bzr-next-revision): New funs. | |
16481 | ||
16482 | 2008-04-03 Chong Yidong <cyd@stupidchicken.com> | |
16483 | ||
16484 | * shell.el (shell-dynamic-complete-filename): New fun. | |
16485 | (shell-dynamic-complete-functions): Use it. | |
16486 | ||
16487 | * help-fns.el (describe-variable): Undo 2008-02-25 change. | |
16488 | ||
16489 | 2008-04-03 Kenichi Handa <handa@m17n.org> | |
16490 | ||
16491 | * international/fontset.el (create-fontset-from-x-resource): | |
16492 | Handle the error of X resource more gracefully. | |
16493 | ||
16494 | * international/latin1-disp.el (latin1-display): Don't use make-char. | |
16495 | Fix the argument to set-char-table-range. | |
16496 | (latin1-display-identities): Don't use make-char. | |
16497 | (latin1-display-reset): Use map-charset-chars instead of directly | |
16498 | calling standard-display-default. | |
16499 | (latin1-display-check-font): Don't use make-char. | |
16500 | (latin1-display-setup): Likewise. | |
16501 | (latin1-display-ucs-per-lynx): Likewise. | |
16502 | ||
16503 | 2008-04-03 Stefan Monnier <monnier@iro.umontreal.ca> | |
16504 | ||
16505 | * emacs-lisp/timer.el (timer): Define as a defstruct, so we can | |
16506 | name the fields, to make the code clearer. | |
16507 | Rewrite all `aset' and `aref' using the defined accessors. | |
16508 | (timer--time): New pseudo-field. | |
16509 | (timer-set-time, timer-set-idle-time, timer-inc-time) | |
16510 | (timer-set-time-with-usecs, with-timeout-suspend): Use it. | |
16511 | (timer--time-less-p): New function. | |
16512 | (timer--activate): New function, extracted from timer-activate. | |
16513 | (timer-activate-when-idle, timer-activate): Use it. | |
16514 | (cancel-function-timers): Use dolist. | |
16515 | ||
16516 | 2008-04-03 Glenn Morris <rgm@gnu.org> | |
16517 | ||
16518 | * add-log.el (c-beginning-of-defun, c-end-of-defun): | |
16519 | Remove declarations; no longer used. | |
16520 | (c-cpp-define-name, c-defun-name): Declare as functions. | |
16521 | ||
16522 | * calendar/cal-bahai.el (holiday-fixed): Autoload it. | |
16523 | (holiday-bahai-new-year, holiday-bahai-ridvan): New functions. | |
16524 | ||
16525 | * calendar/cal-hebrew.el (holiday-rosh-hashanah-etc) | |
16526 | (holiday-passover-etc, holiday-hanukkah): Doc fix. | |
16527 | Add optional argument. Simplify. | |
16528 | (holiday-tisha-b-av-etc): Use memq rather than unless. | |
16529 | (holiday-julian): Autoload it. | |
16530 | (holiday-hebrew-misc): New function. | |
16531 | ||
16532 | * calendar/cal-islam.el (holiday-islamic-new-year): New function. | |
16533 | ||
16534 | * calendar/calendar.el (hebrew-holidays-1, hebrew-holidays-2) | |
16535 | (hebrew-holidays-3, hebrew-holidays-4): Make obsolete. | |
16536 | (hebrew-holidays-2): Just use holiday-hanukkah now it respects | |
16537 | all-hebrew-calendar-holidays. | |
16538 | (hebrew-holidays, christian-holidays, islamic-holidays, bahai-holidays): | |
16539 | Simplify using new functions. | |
16540 | (calendar-holidays): Doc fix. | |
16541 | (generate-calendar-window): Use bound-and-true-p. | |
16542 | ||
16543 | * calendar/diary-lib.el (diary-mail-addr): Use bound-and-true-p. | |
16544 | ||
16545 | * calendar/holidays.el (calendar-holiday-list): Fix previous change. | |
16546 | (holiday-filter-visible-calendar): Doc fix. Use mapcar. | |
16547 | (holiday-easter-etc): Fix nesting of result. Tweak holiday order. | |
16548 | Use calendar-date-is-visible-p, not holiday-filter-visible-calendar. | |
16549 | ||
16550 | * net/tramp.el (tramp-drop-volume-letter): Move definition before use. | |
16551 | ||
16552 | 2008-04-03 Stefan Monnier <monnier@iro.umontreal.ca> | |
16553 | ||
16554 | * vc-mtn.el (vc-mtn-command): Avoid localization of messages. | |
16555 | ||
16556 | * vc-bzr.el (vc-bzr-checkout): Simplify. | |
16557 | ||
16558 | * image-mode.el (image-mode-fit-frame): New command. | |
16559 | ||
16560 | * simple.el (beginning-of-buffer, end-of-buffer, goto-line, undo) | |
16561 | (copy-region-as-kill, kill-ring-save, use-region-p, mark-word) | |
16562 | (keyboard-escape-quit): Check region-active-p i.s.o | |
16563 | transient-mark-mode. | |
16564 | ||
16565 | 2008-04-02 Simon Josefsson <simon@josefsson.org> | |
16566 | ||
16567 | * net/imap.el (imap-enable-exchange-bug-workaround): New variable. | |
16568 | (imap-message-copyuid-1): Use it. | |
16569 | (imap-message-appenduid-1): Likewise. Based on patch by Nathan | |
16570 | J. Williams in | |
16571 | <http://permalink.gmane.org/gmane.emacs.gnus.general/65855>. | |
16572 | ||
16573 | 2008-04-02 Alan Mackenzie <acm@muc.de> | |
16574 | ||
16575 | * progmodes/cc-cmds.el (c-defun-name, c-cpp-define-name): | |
16576 | New optimised functions to get the name of the current defun/macro. | |
16577 | ||
16578 | * add-log.el (add-log-current-defun): Move the functionality which | |
16579 | gets the current function name for C like modes to cc-cmds.el, | |
16580 | thus optimising for speed. | |
16581 | ||
16582 | 2008-04-02 Chong Yidong <cyd@stupidchicken.com> | |
16583 | ||
16584 | * simple.el (deactivate-mark): When the mark is temporarily | |
16585 | active, restore the original value of transient-mark-mode. | |
16586 | (set-mark-command): First deactivate the mark if was temporarily active. | |
16587 | (exchange-point-and-mark): Reactivate the mark if it was | |
16588 | temporarily active. | |
16589 | (handle-shift-selection): New fun. | |
16590 | (transient-mark-mode): Move var documentation here from buffer.c. | |
16591 | (next-line, previous-line, backward-word, move-end-of-line) | |
16592 | (move-beginning-of-line, forward-to-indentation) | |
16593 | (backward-to-indentation, back-to-indentation) | |
16594 | (beginning-of-buffer, end-of-buffer): Add ^ interactive spec. | |
16595 | ||
16596 | * mouse.el (mouse-set-region-1): Save the old value of | |
16597 | transient-mark-mode. | |
16598 | (mouse-drag-track): Ignore the now-obsolete value `identity' for | |
16599 | transient-mark-mode. | |
16600 | ||
16601 | * textmodes/paragraphs.el (forward-paragraph) | |
16602 | (backward-paragraph, forward-sentence, backward-sentence): Add ^ | |
16603 | interactive spec. | |
16604 | ||
16605 | * emulation/cua-base.el (cua-mode): Turn off shift-select-mode. | |
16606 | ||
16607 | 2008-04-02 Michael Albinus <michael.albinus@gmx.de> | |
16608 | ||
16609 | * net/tramp.el (tramp-make-tramp-temp-file): | |
16610 | Use `tramp-drop-volume-letter' for the local file name part. | |
16611 | ||
16612 | 2008-04-02 Dan Nicolaescu <dann@ics.uci.edu> | |
16613 | ||
16614 | * progmodes/sh-script.el (sh-mode-map): Rename the menu. Add :help. | |
16615 | Add menu entries corresponding to all the key bindings. | |
16616 | ||
16617 | * emacs-lisp/debug.el (debugger-mode-map): | |
16618 | * textmodes/conf-mode.el (conf-mode-map): Add a menu. | |
16619 | (conf-align-assignments): Only work on the region if it is active. | |
16620 | (conf-quote-normal): Use when instead of if. Remove redundant test. | |
16621 | ||
16622 | * emacs-lisp/lisp-mode.el (emacs-lisp-mode-map): Add menu entries | |
16623 | for tracing and re-builder. | |
16624 | ||
16625 | 2008-04-02 Glenn Morris <rgm@gnu.org> | |
16626 | ||
16627 | * calendar/appt.el (appt-disp-window-function): Doc fix. | |
16628 | (appt-display-message): Move beep before display. | |
16629 | (appt-check): Make interactive. Reduce the number of lets. | |
16630 | Use string-equal to compare mode-line strings. | |
16631 | (appt-disp-window): Pluralize "minute" as needed. Make appt buffer | |
16632 | read-only. | |
16633 | (appt-select-lowest-window, appt-make-list): Reduce the number of lets. | |
16634 | (appt-delete): Simplify. | |
16635 | ||
16636 | * calendar/cal-china.el (holiday-chinese-new-year): Use a single let. | |
16637 | ||
16638 | * calendar/cal-dst.el (calendar-time-zone-daylight-rules): Simplify. | |
16639 | ||
16640 | * calendar/cal-hebrew.el (list-yahrzeit-dates): | |
16641 | * calendar/cal-tex.el (cal-tex-insert-blank-days-at-end) | |
16642 | (cal-tex-last-blank-p, cal-tex-daily-page): Expand calendar-for-loops. | |
16643 | ||
16644 | * calendar/calendar.el (diary-entry-marker, calendar-today-marker) | |
16645 | (calendar-holiday-marker, mark-visible-calendar-date): | |
16646 | * calendar/diary-lib.el (fancy-diary-display): | |
16647 | Check for font-lock-mode before using faces. | |
16648 | ||
16649 | * calendar/calendar.el (hebrew-holidays-3, generate-calendar-month) | |
16650 | (calendar-gregorian-from-absolute): Reduce the number of lets. | |
16651 | (hebrew-holidays-4, generate-calendar-window): Simplify. | |
16652 | (calendar-for-loop): Make obsolete. | |
16653 | (calendar-nth-named-day): Doc fix. | |
16654 | ||
16655 | * calendar/diary-lib.el (diary-list-entries, fancy-diary-display) | |
16656 | (print-diary-entries, mark-sexp-diary-entries, calendar-mark-complex) | |
16657 | (calendar-mark-1, list-sexp-diary-entries, diary-remind): | |
16658 | Reduce the number of lets. | |
16659 | (mark-sexp-diary-entries, calendar-mark-complex): | |
16660 | Expand calendar-for-loops. | |
16661 | ||
16662 | 2008-04-01 Chong Yidong <cyd@stupidchicken.com> | |
16663 | ||
16664 | * find-dired.el (find-dired-filter): Fix last patch to handle | |
16665 | multi-line process input. Pad link numbers too. | |
534d37da | 16666 | |
e3d51b27 MR |
16667 | 2008-04-01 Jari Aalto <jari.aalto@cante.net> |
16668 | ||
16669 | * find-dired.el (find-dired-filter): Align columns by padding file sizes. | |
16670 | ||
16671 | 2008-04-01 Jason Rumney <jasonr@gnu.org> | |
16672 | ||
16673 | * international/characters.el (script-list): Add phonetic script, | |
16674 | covering IPA (previously Latin), Phonetic Extensions and | |
16675 | Phonetic Extensions Supplement (both previously unassigned). | |
16676 | ||
16677 | * international/fontset.el (setup-default-fontset): Use unicode fonts | |
16678 | that cover bopomofo script for bopomofo. | |
16679 | Likewise for braille and mathematical. | |
16680 | Use unicode scripts that cover the phonetic script for IPA. | |
16681 | ||
16682 | 2008-04-01 Johan Bockgård <bojohan@gnu.org> | |
16683 | ||
16684 | * emacs-lisp/cl-macs.el (frame-parameter) <defsetf>: Make it | |
16685 | return the assigned value. | |
16686 | ||
16687 | 2008-04-01 Stefan Monnier <monnier@iro.umontreal.ca> | |
16688 | ||
16689 | * abbrev.el (abbrev-mode): Use define-minor-mode. | |
16690 | ||
16691 | * mouse.el (mouse-major-mode-menu-prefix): Remove. Remove uses. | |
16692 | (mouse-menu-non-singleton): Rename from mouse-major-mode-menu-1. | |
16693 | Use map-keymap. | |
16694 | (minor-mode-menu-from-indicator): Use it. Simplify. | |
16695 | ||
16696 | * bindings.el (mode-line-mode-menu): Move before (new) first use. | |
16697 | (mode-line-major-mode-keymap, mode-line-minor-mode-keymap): | |
16698 | Bind the key directly to the menu. | |
16699 | (mode-line-mode-menu-1, mode-line-mode-menu): Remove functions. | |
16700 | ||
16701 | 2008-04-01 Daiki Ueno <ueno@unixuser.org> | |
16702 | ||
16703 | * epa.el (epa-decrypt-region): Explain the reason why this | |
16704 | function should not be used in Lisp programs. | |
16705 | (epa-decrypt-armor-in-region): Ditto. | |
16706 | (epa-verify-region): Ditto. | |
16707 | (epa-verify-cleartext-in-region): Ditto. | |
16708 | (epa-sign-region): Ditto. | |
16709 | (epa-encrypt-region): Ditto. | |
16710 | ||
16711 | * epg.el (epg-start-receive-keys): Fix typo in docstring. | |
16712 | ||
16713 | * epa.el (epa-select-keys): Show menu even if there is no key in | |
16714 | GnuPG's keyring. | |
16715 | ||
16716 | 2008-04-01 Glenn Morris <rgm@gnu.org> | |
16717 | ||
16718 | * calendar/calendar.el (calendar-make-temp-face): New function. | |
16719 | (mark-visible-calendar-date): | |
16720 | * calendar/diary-lib.el (fancy-diary-display): Use it. | |
16721 | ||
16722 | * vc-hooks.el (vc-responsible-backend): Declare as function. | |
16723 | ||
16724 | * calendar/calendar.el (calendar-nongregorian-visible-p): New function. | |
16725 | * calendar/cal-hebrew.el (calendar-hebrew-date-is-visible-p): | |
16726 | * calendar/cal-julian.el (holiday-julian): Use it. | |
16727 | ||
16728 | * calendar/cal-hebrew.el (hebrew-calendar-elapsed-days): Doc fix. | |
16729 | (calendar-hebrew-date-is-visible-p): Extract some common code into | |
16730 | separate function. | |
16731 | (holiday-hebrew, mark-hebrew-calendar-date-pattern): Use it. | |
16732 | ||
16733 | * calendar/cal-menu.el (cal-menu-holidays-menu): | |
16734 | * calendar/calendar.el (calendar-mode-map): Use calendar-mark-holidays | |
16735 | rather than obsolete alias. | |
16736 | ||
16737 | * calendar/calendar.el (mark-visible-calendar-date): Also use overlay | |
16738 | for mark characters. | |
16739 | (calendar-unmark): Unmark by removing all overlays, rather than | |
16740 | redrawing. | |
16741 | (calendar-starred-day): Remove. | |
16742 | (calendar-mode): Disable undo. Don't make calendar-starred-day local. | |
16743 | (calendar-cursor-to-date): No need for special star handling now | |
16744 | using overlays. | |
16745 | (calendar-star-date): Use overlays. | |
16746 | ||
16747 | * calendar/cal-french.el (calendar-goto-french-date): | |
16748 | * calendar/cal-hebrew.el (calendar-hebrew-from-absolute) | |
16749 | (holiday-hanukkah, mark-hebrew-calendar-date-pattern): | |
16750 | * calendar/cal-move.el (calendar-forward-month, calendar-end-of-month): | |
16751 | * calendar/cal-persia.el (calendar-persian-date-string): | |
16752 | * calendar/cal-tex.el (cal-tex-cursor-month-landscape) | |
16753 | (cal-tex-cursor-month, cal-tex-LaTeXify-string): | |
16754 | * calendar/lunar.el (lunar-phase-list, lunar-new-moon-on-or-after): | |
16755 | * calendar/solar.el (solar-equinoxes-solstices): | |
16756 | Reduce nesting of some lets. | |
16757 | ||
16758 | * calendar/cal-mayan.el (calendar-string-to-mayan-long-count) | |
16759 | (calendar-goto-mayan-long-count-date): Simplify. | |
16760 | ||
16761 | * calendar/holidays.el (calendar-holiday-list, holiday-easter-etc): | |
16762 | Simplify by using mapcar. | |
16763 | (calendar-list-holidays): Return holiday-list. | |
16764 | (list-holidays): Use let rather than let*. Remove un-needed locals | |
16765 | `d', `never'. | |
16766 | (calendar-check-holidays): Return result from dolist. | |
16767 | (holiday-float): Use a single let*. Simplify if-and to and. | |
16768 | (holiday-sexp, holiday-advent, holiday-greek-orthodox-easter): Use a | |
16769 | single let*. | |
16770 | ||
16771 | 2008-04-01 Jay Belanger <jay.p.belanger@gmail.com> | |
16772 | ||
16773 | * calc/calc.el: Autoload `calc-yank'. | |
16774 | (calc-mode-map): Add keybindings for `calc-yank'. | |
16775 | * calc/calc-ext.el (calc-init-extensions): Remove keybinding | |
16776 | assignments for `calc-yank'. | |
16777 | ||
16778 | 2008-03-31 Dan Nicolaescu <dann@ics.uci.edu> | |
16779 | ||
16780 | * vc.el (vc-status-add-entry): Assume ENTRY is a list, not a cons. | |
16781 | (vc-status-mark-buffer-changed): Handle the extra field. | |
16782 | ||
16783 | * vc-bzr.el (vc-bzr-after-dir-status): | |
16784 | * vc-cvs.el (vc-cvs-after-dir-status): | |
16785 | * vc-hg.el (vc-hg-after-dir-status): | |
16786 | * vc-svn.el (vc-svn-after-dir-status): Return a list, not a cons. | |
16787 | ||
16788 | 2008-03-31 Stefan Monnier <monnier@iro.umontreal.ca> | |
16789 | ||
16790 | * doc-view.el: Compute displayed pages first (in PDF). | |
16791 | (doc-view-current-converter-processes): Rename from | |
16792 | doc-view-current-converter-process. Update users. | |
16793 | (doc-view-sentinel): Test buffer's liveness. | |
16794 | (doc-view-pdf/ps->png-sentinel): Remove. | |
16795 | (doc-view-start-process): New function. | |
16796 | (doc-view-dvi->pdf, doc-view-pdf/ps->png, doc-view-pdf->txt) | |
16797 | (doc-view-ps->pdf): Use it. | |
16798 | (doc-view-pdf->png-1, doc-view-pdf->png, doc-view-active-pages): | |
16799 | New functions. | |
16800 | (doc-view-convert-current-doc, doc-view-goto-page): Use them. | |
16801 | (doc-view-mode): Kill the processes when leaving the mode. | |
16802 | ||
16803 | 2008-03-31 Juanma Barranquero <lekktu@gmail.com> | |
16804 | ||
16805 | * emacs-lisp/bytecomp.el (byte-compile-warnings-safe-p): | |
16806 | Use `byte-compile-warning-types'. Add docstring. | |
16807 | ||
16808 | 2008-03-31 Stefan Monnier <monnier@iro.umontreal.ca> | |
16809 | ||
16810 | * smerge-mode.el (smerge-apply-resolution-patch): New fun. | |
16811 | (smerge-resolve): Add various resolution heuristics. | |
16812 | ||
16813 | * smerge-mode.el (smerge-refine): Allow highlighting other subparts | |
16814 | in 3-way conflicts. | |
16815 | ||
16816 | 2008-03-31 Glenn Morris <rgm@gnu.org> | |
16817 | ||
16818 | * calendar/cal-bahai.el (diary-bahai-mark-entries): | |
16819 | * calendar/cal-hebrew.el (mark-hebrew-diary-entries): | |
16820 | * calendar/cal-islam.el (mark-islamic-diary-entries): | |
16821 | Fix argument order in call to diary-mark-entries-1. | |
16822 | ||
16823 | * calendar/cal-bahai.el (calendar-bahai-date-string): Avoid an error for | |
16824 | pre-Bahai dates. | |
16825 | (holiday-bahai): Use an algorithm actually relevant to this calendar | |
16826 | system. | |
16827 | ||
16828 | * calendar/cal-china.el (holiday-chinese-new-year): Doc fix. | |
16829 | ||
16830 | * calendar/cal-islam.el (holiday-islamic): Remove un-needed let. | |
16831 | Use and. | |
16832 | ||
16833 | * calendar/cal-julian.el (holiday-julian): Fix a problem with holidays | |
16834 | in the last fortnight in Julian October. | |
16835 | ||
16836 | * calendar/calendar.el (increment-calendar-month): Optionally handle | |
16837 | systems without 12 months per year. | |
e3d51b27 | 16838 | (calendar-date-is-visible-p): Doc fix. Simplify. |
4af487fc | 16839 | |
e3d51b27 MR |
16840 | * calendar/holidays.el (holiday-filter-visible-calendar): Return result |
16841 | from dolist. | |
16842 | ||
16843 | 2008-03-30 Juanma Barranquero <lekktu@gmail.com> | |
16844 | ||
16845 | * hi-lock.el (hi-lock-mode): Fix typos in docstring. | |
16846 | (hi-lock-unload-function): New function. | |
16847 | ||
16848 | 2008-03-30 Michael Albinus <michael.albinus@gmx.de> | |
16849 | ||
16850 | * net/tramp.el (tramp-do-copy-or-rename-file): Fix check for | |
16851 | overwriting when NEWNAME is a local file. | |
16852 | ||
16853 | * net/trampver.el: Update release number. | |
16854 | ||
16855 | 2008-03-30 Alexandre Julliard <julliard@winehq.org> | |
16856 | ||
16857 | * vc-git.el: Make vc-status display information about copies, | |
16858 | renames and permission changes. | |
16859 | (vc-git-extra-fileinfo): New defstruct. | |
16860 | (vc-git-escape-file-name, vc-git-file-type-as-string) | |
16861 | (vc-git-rename-as-string, vc-git-permissions-as-string) | |
16862 | (vc-git-status-printer): New functions. | |
16863 | (vc-git-after-dir-status-stage2): Also return vc-git-extra-fileinfo. | |
16864 | (vc-git-after-dir-status-stage1): Look for copies, renames and | |
16865 | permission changes. | |
16866 | (vc-git-after-dir-status-stage1-empty-db): Set permissions. | |
16867 | (vc-git-dir-status): Ask for staged files and renames. | |
16868 | ||
16869 | 2008-03-30 Dan Nicolaescu <dann@ics.uci.edu> | |
16870 | ||
16871 | * vc.el: Allow backends to display backend specific information in | |
16872 | the vc-status listing. | |
16873 | (vc-status-fileinfo): Add a field for backend specific information. | |
16874 | (vc-status-printer): Rename to ... | |
16875 | (vc-default-status-printer): ... this. | |
16876 | (vc-status-printer): New function. | |
16877 | (vc-update-vc-status-buffer): Set the backend specific file info | |
16878 | if provided. | |
16879 | ||
16880 | 2008-03-30 Stefan Monnier <monnier@iro.umontreal.ca> | |
16881 | ||
16882 | * textmodes/remember.el (remember-diary-convert-entry): Revert last | |
16883 | change (ill-conceived). | |
16884 | ||
16885 | 2008-03-29 Glenn Morris <rgm@gnu.org> | |
16886 | ||
16887 | * calendar/cal-hebrew.el (holiday-hebrew): Simplify. | |
16888 | * calendar/cal-julian.el (holiday-julian): Simplify. | |
16889 | ||
16890 | * calendar/holidays.el (list-holidays): Use or. | |
16891 | ||
16892 | 2008-03-29 Juri Linkov <juri@jurta.org> | |
16893 | ||
16894 | * window.el (split-window-preferred-horizontally): New function. | |
16895 | ||
16896 | * cus-start.el (split-window-preferred-function): | |
16897 | Set choice for "vertically" to nil instead of split-window. | |
16898 | Set choice for "horizontally" to split-window-preferred-horizontally | |
16899 | instead of lambda. | |
16900 | ||
16901 | 2008-03-29 Juri Linkov <juri@jurta.org> | |
16902 | ||
16903 | * simple.el (minibuffer-default-add-function): New variable with | |
16904 | the default to minibuffer-default-add-completions. | |
16905 | (minibuffer-default-add-done): New variable. Make it buffer-local. | |
16906 | (minibuffer-default-add-completions): New function. | |
16907 | (goto-history-element): Set minibuffer-default-add-done to t and | |
16908 | call a function in minibuffer-default-add-function when the | |
16909 | specified absolute history position is greater than the length of | |
16910 | the minibuffer-default list and minibuffer-default-add-done is nil. | |
16911 | Change "^End of history; no next item$" to "^End of defaults; | |
16912 | no next item$". | |
16913 | ||
16914 | * bindings.el (debug-ignored-errors): Change "^End of history; | |
16915 | no next item$" to "^End of defaults; no next item$". | |
16916 | ||
16917 | 2008-03-29 Stefan Monnier <monnier@iro.umontreal.ca> | |
16918 | ||
16919 | * subr.el (with-temp-buffer): Assume kill-buffer can change cur-buf. | |
16920 | ||
16921 | * textmodes/remember.el (remember-diary-convert-entry): Prefer boundp | |
16922 | to with-no-warnings. | |
16923 | ||
16924 | 2008-03-29 Michael Albinus <michael.albinus@gmx.de> | |
16925 | ||
16926 | Sync with Tramp 2.1.13. | |
16927 | ||
16928 | * net/tramp-ftp.el: Require 'cl when byte-compiling. | |
16929 | ||
16930 | * net/trampver.el: Update release number. | |
16931 | ||
16932 | 2008-03-29 Dan Nicolaescu <dann@ics.uci.edu> | |
16933 | ||
16934 | * vc-hooks.el (vc-menu-map-filter): Be more careful when finding | |
16935 | the current backend. | |
16936 | ||
16937 | * vc.el (vc-status-menu-map, vc-status-mode-map): Bind vc-revert. | |
16938 | (vc-status-refresh): Create a temporary buffer and call the | |
16939 | `dir-status' backend function from that buffer. | |
16940 | ||
16941 | * vc-bzr.el (vc-bzr-dir-status): Don't create a buffer. | |
16942 | (vc-bzr-after-dir-status): Don't kill the buffer. | |
16943 | ||
16944 | * vc-cvs.el (vc-cvs-dir-status): Don't create a buffer. | |
16945 | (vc-cvs-after-dir-status): Don't kill the buffer. | |
16946 | ||
16947 | * vc-git.el (vc-git-dir-status): Don't create a buffer. | |
16948 | (vc-git-after-dir-status-stage2): Don't kill the buffer. | |
16949 | ||
16950 | * vc-hg.el (vc-hg-dir-status): Don't create a buffer. | |
16951 | (vc-hg-after-dir-status): Don't kill the buffer. | |
16952 | ||
16953 | * vc-svn.el (vc-svn-dir-status): Don't create a buffer. | |
16954 | (vc-svn-after-dir-status): Don't kill the buffer. | |
16955 | ||
16956 | 2008-03-29 Glenn Morris <rgm@gnu.org> | |
16957 | ||
16958 | * calendar/calendar.el (diary-file, american-date-diary-pattern) | |
16959 | (european-date-diary-pattern, european-calendar-display-form) | |
16960 | (american-calendar-display-form, diary-display-hook): Doc fixes. | |
16961 | (european-calendar-style): Doc fix. Use calendar-set-date-style for | |
16962 | custom :set. Mark as obsolete. | |
16963 | (calendar-date-style, iso-date-diary-pattern) | |
16964 | (iso-calendar-display-form): New user variables. | |
16965 | (diary-date-forms, calendar-date-display-form): Set using | |
16966 | calendar-date-style. Doc fix. | |
16967 | (calendar-set-date-style): New command. | |
16968 | (european-calendar, american-calendar): Use calendar-set-date-style. | |
16969 | Mark as obsolete. | |
16970 | ||
16971 | * calendar/diary-lib.el (number): Move declaration where needed. | |
16972 | (diary-mail-entries, list-sexp-diary-entries): Doc fixes. | |
16973 | (diary-make-date): New function. | |
16974 | (diary-date, diary-block, diary-anniversary, diary-cyclic): Doc fix. | |
16975 | Use diary-make-date. | |
16976 | (diary-date-display-form, diary-insert-entry-1): New functions. | |
16977 | (insert-monthly-diary-entry, insert-yearly-diary-entry): | |
16978 | Use diary-insert-entry-1. | |
16979 | (insert-anniversary-diary-entry, insert-block-diary-entry) | |
16980 | (insert-cyclic-diary-entry): Use diary-date-display-form. | |
16981 | ||
16982 | * calendar/cal-bahai.el, calendar/cal-hebrew.el, calendar/cal-islam.el: | |
16983 | Autoload diary-insert-entry-1. | |
16984 | * calendar/cal-bahai.el (diary-bahai-insert-entry) | |
16985 | (diary-bahai-insert-monthly-entry, diary-bahai-insert-yearly-entry): | |
16986 | * calendar/cal-hebrew.el (insert-hebrew-diary-entry) | |
16987 | (insert-monthly-hebrew-diary-entry, insert-yearly-hebrew-diary-entry) | |
16988 | * calendar/cal-islam.el (insert-islamic-diary-entry) | |
16989 | (insert-monthly-islamic-diary-entry, insert-yearly-islamic-diary-entry): | |
16990 | Use diary-insert-entry-1. | |
16991 | ||
16992 | * calendar/cal-hebrew.el (diary-make-date): Autoload it. | |
16993 | (diary-yahrzeit): Doc fix. Use diary-make-date. | |
16994 | ||
16995 | * calendar/icalendar.el (icalendar--datetime-to-american-date): | |
16996 | New name for icalendar--datetime-to-noneuropean-date. Make old name | |
16997 | obsolete alias. | |
16998 | (icalendar--datetime-to-iso-date): New function. | |
16999 | (icalendar--datetime-to-diary-date): Doc fix. Respect | |
17000 | calendar-date-style if bound. | |
17001 | ||
17002 | * textmodes/remember.el (remember-diary-convert-entry): | |
17003 | Respect calendar-date-style if bound. | |
17004 | ||
17005 | 2008-03-29 Stefan Monnier <monnier@iro.umontreal.ca> | |
17006 | ||
17007 | * xt-mouse.el (xterm-mouse-mode): Use delete-terminal-functions. | |
17008 | (xterm-mouse-handle-delete-frame): Delete. | |
17009 | ||
17010 | * term/xterm.el (terminal-init-xterm): Use delete-terminal-functions. | |
17011 | (xterm-turn-on-modify-other-keys, xterm-turn-off-modify-other-keys) | |
17012 | (xterm-remove-modify-other-keys): Lookup terminal rather than frame | |
17013 | in xterm-modify-other-keys-terminal-list. | |
17014 | ||
17015 | * vc-bzr.el (vc-bzr-state-heuristic): Fix last change for when there | |
17016 | are conflicts. | |
17017 | ||
17018 | 2008-03-29 Dan Nicolaescu <dann@ics.uci.edu> | |
17019 | ||
17020 | * vc.el (vc-update): Check if the buffer is unsaved only if it | |
17021 | actually exists. | |
17022 | (vc-status-mode-map, vc-status-menu-map): Bind vc-update and | |
17023 | vc-print-log. | |
17024 | ||
17025 | 2008-03-28 Magnus Henoch <mange@freemail.hu> | |
17026 | ||
17027 | * net/dns.el (dns-write): Use set-buffer-multibyte. | |
17028 | ||
17029 | 2008-03-28 Stefan Monnier <monnier@iro.umontreal.ca> | |
17030 | ||
17031 | * vc-bzr.el (vc-bzr-sha1): New fun. | |
17032 | (vc-bzr-state-heuristic): New fun, extracted from vc-bzr-registered. | |
17033 | (vc-bzr-registered): Use it. | |
17034 | ||
17035 | 2008-03-28 Dan Nicolaescu <dann@ics.uci.edu> | |
17036 | ||
17037 | * vc.el (vc-status-kill-dir-status-process): Simplify. | |
17038 | (vc-status-refresh): Make sure the buffer is live. | |
17039 | (ring): Don't require it, not used. | |
17040 | ||
17041 | 2008-03-28 Wilson Snyder <wsnyder@wsnyder.org> | |
17042 | ||
17043 | * progmodes/verilog-mode.el (verilog-auto-inout-module): | |
17044 | Add optional regular expression to AUTOINOUTMODULE. | |
17045 | (verilog-inject-auto, verilog-auto-arg, verilog-auto-inst) | |
17046 | (verilog-auto-inst-param, verilog-auto-reg) | |
17047 | (verilog-auto-reg-input, verilog-auto-wire, verilog-auto-output) | |
17048 | (verilog-auto-output-every, verilog-auto-input) | |
17049 | (verilog-auto-inout, verilog-auto-sense, verilog-auto-tieoff) | |
17050 | (verilog-auto-unused, verilog-auto): Update documentation to use | |
17051 | more obvious instance module names versus cell names. | |
17052 | ||
17053 | 2008-03-28 Jan Djärv <jan.h.d@swipnet.se> | |
17054 | ||
17055 | * progmodes/compile.el (compilation-mode-tool-bar-map): Only enable | |
17056 | kill if a process is running. | |
17057 | ||
17058 | * progmodes/grep.el (grep-mode-tool-bar-map): The same. | |
17059 | ||
17060 | 2008-03-28 Dan Nicolaescu <dann@ics.uci.edu> | |
17061 | ||
17062 | * vc.el: Add new backend function 'status-extra-headers. | |
17063 | (vc-default-status-extra-headers): New function. | |
17064 | (vc-status-headers): Call 'status-extra-headers. Add colors. | |
17065 | ||
17066 | * vc-git.el (vc-git-status-extra-headers): New function. | |
17067 | ||
17068 | 2008-03-28 Glenn Morris <rgm@gnu.org> | |
17069 | ||
17070 | * calendar/cal-menu.el (cal-menu-holidays-menu) | |
17071 | (cal-menu-list-holidays-year, cal-menu-list-holidays-following-year) | |
17072 | (cal-menu-list-holidays-previous-year): Simplify now that 2nd arg of | |
17073 | holiday-list is optional. | |
17074 | (calendar-mouse-holidays): Remove un-needed local `l'. | |
17075 | ||
17076 | * calendar/cal-move.el (calendar-cursor-to-nearest-date): | |
17077 | Remove un-needed local `date'. | |
17078 | (calendar-cursor-to-visible-date): Use let rather than let*. | |
17079 | Remove un-needed local `first-of-month-weekday'. | |
17080 | ||
17081 | * calendar/calendar.el (abbreviated-calendar-year): Move to diary-lib. | |
17082 | ||
17083 | * calendar/diary-lib.el (print-diary-entries-hook, diary-list-entries): | |
17084 | Doc fixes. | |
17085 | (abbreviated-calendar-year): Move here from calendar.el. Doc fix. | |
17086 | (diary-header-line-flag, diary-header-line-format): Declare. | |
17087 | (diary-pull-attrs): Check for multiple matches. | |
17088 | (diary-list-entries-2): Simplify finding start of date. | |
17089 | (diary-show-all-entries, make-diary-entry): Respect non-nil values of | |
17090 | pop-up-frames. | |
17091 | (diary-mark-entries-1): Re-use offset in abbreviated-year case. | |
17092 | (mark-sexp-diary-entries): Remove superfluous call to diary-pull-attrs. | |
17093 | ||
17094 | 2008-03-27 Dan Nicolaescu <dann@ics.uci.edu> | |
17095 | ||
17096 | * vc-hg.el (vc-hg-state, vc-hg-dir-state): Deal with 'missing files. | |
17097 | ||
17098 | * emacs-lisp/lisp-mode.el (emacs-lisp-mode-map): Fix predicate. | |
17099 | ||
17100 | 2008-03-27 Stefan Monnier <monnier@iro.umontreal.ca> | |
17101 | ||
17102 | * vc-hooks.el (vc-before-save): Don't prevent saving the file. | |
17103 | ||
17104 | * image-mode.el (image-mode-reapply-winprops): Fix last change. | |
17105 | ||
17106 | 2008-03-27 Kenichi Handa <handa@ni.aist.go.jp> | |
17107 | ||
17108 | * international/mule-conf.el (define-iso-single-byte-charset): | |
17109 | Don't make latin-iso8859-* supplementary. | |
17110 | (#'set-charset-priority): Don't change the priority of charsets | |
17111 | `unicode' and `emacs'. | |
17112 | ||
17113 | 2008-03-27 Stefan Monnier <monnier@iro.umontreal.ca> | |
17114 | ||
17115 | * vc.el (vc-do-command): Don't pop to the buffer if it's an internal | |
17116 | temp buffer. | |
17117 | ||
17118 | 2008-03-27 Glenn Morris <rgm@gnu.org> | |
17119 | ||
17120 | * calendar/cal-bahai.el (diary-bahai-list-entries): | |
17121 | * calendar/cal-hebrew.el (list-hebrew-diary-entries): | |
17122 | * calendar/cal-islam.el (list-islamic-diary-entries): Doc fix. | |
17123 | ||
17124 | * calendar/calendar.el (diary-file): Doc fix. | |
17125 | ||
17126 | * calendar/diary-lib.el (diary-face-attrs): Fix `height' regexp. | |
17127 | (list-diary-entries-hook, mark-diary-entries-hook) | |
17128 | (include-other-diary-files, diary-mail-entries) | |
17129 | (mark-included-diary-files, list-sexp-diary-entries): Doc fixes. | |
17130 | (diary-set-header): New function. | |
17131 | (diary-header-line-flag, diary-header-line-format): | |
17132 | Use diary-set-header for custom :set function. | |
17133 | (diary-set-maybe-redraw): Use symbol-value rather than eval. | |
17134 | (diary-attrtype-convert): Use intern-soft rather than read. | |
17135 | (diary-display-no-entries): New function. | |
17136 | (simple-diary-display, fancy-diary-display): Use it. | |
17137 | (fancy-diary-display): Doc fix. Remove unneeded local entry-list. | |
17138 | (diary-mark-entries-1): Fix position offsets in non-gregorian case. | |
17139 | ||
17140 | * calendar/holidays.el (list-holidays): Doc fix. | |
17141 | ||
17142 | 2008-03-26 Jay Belanger <jay.p.belanger@gmail.com> | |
17143 | ||
17144 | * calc/calc-store.el (calc-read-var-name-history): New variable. | |
17145 | (calc-read-var-name): Use `calc-read-var-name-history'. | |
17146 | ||
17147 | 2008-03-26 Stefan Monnier <monnier@iro.umontreal.ca> | |
17148 | ||
17149 | * image-mode.el (image-mode-reapply-winprops): Simplify now that | |
17150 | window-configuration-change-hook works buffer-locally. | |
17151 | ||
17152 | 2008-03-26 Johan Bockgård <bojohan@gnu.org> | |
17153 | ||
17154 | * emacs-lisp/lisp-mnt.el (lm-with-file): Use mode and syntax table | |
17155 | for Emacs Lisp, not Lisp. | |
17156 | ||
17157 | 2008-03-26 Juanma Barranquero <lekktu@gmail.com> | |
17158 | ||
17159 | * help-fns.el (describe-function-1, describe-variable): | |
17160 | If CURRENT-NAME is nil, don't end obsolescence info with semicolon. | |
17161 | ||
17162 | * emacs-lisp/bytecomp.el (byte-compile-obsolete): If no | |
17163 | replacement is provided, don't print "use nil instead". | |
17164 | ||
17165 | 2008-03-26 Johan Bockgård <bojohan@gnu.org> | |
17166 | ||
17167 | * complete.el (PC-do-completion): Use regexp-quote. | |
17168 | ||
17169 | 2008-03-26 Dan Nicolaescu <dann@ics.uci.edu> | |
17170 | ||
17171 | * vc-cvs.el (vc-cvs-parse-status, vc-cvs-after-dir-status): | |
17172 | Detect missing files. | |
17173 | ||
17174 | * vc-git.el (vc-git-extra-menu-map): New key map. | |
17175 | (vc-git-extra-menu, vc-git-extra-status-menu, vc-git-grep): | |
17176 | New functions. | |
17177 | ||
17178 | * vc-hooks.el (vc-default-mode-line-string): Deal with 'removed | |
17179 | and 'missing files. | |
17180 | ||
17181 | 2008-03-26 Stefan Monnier <monnier@iro.umontreal.ca> | |
17182 | ||
17183 | * calendar/holidays.el (list-holidays): Make Y2 optional. | |
17184 | ||
17185 | * calendar/appt.el (appt-buffer-name): Don't use a leading space for | |
17186 | buffers shown to the user. | |
17187 | (appt-disp-window): Disable undo explicitly now that the leading space | |
17188 | is gone. | |
17189 | ||
17190 | * simple.el (activate-mark): New function. | |
17191 | (set-mark-command): Use it with region-active-p to clean up the code. | |
17192 | (exchange-point-and-mark): Invert the meaning of C-u when | |
17193 | transient-mark-mode is active. | |
17194 | ||
17195 | * dired-aux.el (dired-create-files): Use dolist. | |
17196 | ||
17197 | * bindings.el (mode-line-change-eol): Use with-selected-window. | |
17198 | ||
17199 | * apropos.el (apropos-command): Include macros. | |
17200 | ||
17201 | 2008-03-26 Glenn Morris <rgm@gnu.org> | |
17202 | ||
17203 | * calendar/cal-bahai.el: Require calendar, not cal-julian. | |
17204 | (calendar-bahai-epoch): Doc fix. | |
17205 | ||
17206 | * calendar/cal-china.el: Explicitly require calendar. | |
17207 | ||
17208 | * calendar/cal-coptic.el, calendar/cal-islam.el, calendar/cal-persia.el: | |
17209 | Require calendar rather than cal-julian. | |
17210 | Autoload calendar-absolute-from-julian. | |
17211 | * calendar/cal-coptic.el (coptic-calendar-epoch): | |
17212 | * calendar/cal-islam.el (calendar-islamic-epoch): | |
17213 | * calendar/cal-persia.el (persian-calendar-epoch): Set when compiling. | |
17214 | ||
17215 | * calendar/cal-islam.el (calendar-islamic-epoch): Doc fix. | |
17216 | ||
17217 | * calendar/cal-dst.el: Don't require cal-persia. | |
17218 | (calendar-absolute-from-persian): Autoload it. | |
17219 | (dst-adjust-time): Doc fix. | |
17220 | ||
17221 | * calendar/cal-menu.el (cal-menu-diary-menu): Remove menu headings that | |
17222 | cause cal-bahai, cal-islam, cal-hebrew to be loaded on starting the | |
17223 | calendar. | |
17224 | ||
17225 | * calendar/cal-menu.el: Require calendar rather than declaring | |
17226 | functions. | |
17227 | * calendar/calendar.el: Provide calendar before requiring cal-menu. | |
17228 | ||
17229 | * calendar/cal-x.el (calendar-after-frame-setup-hook): New name for | |
17230 | calendar-after-frame-setup-hooks. Update callers, make old name an | |
17231 | obsolete alias. Doc fix. | |
17232 | (calendar-frame-1): Doc fix. | |
17233 | ||
17234 | * calendar/calendar.el (solar-holidays): Simplify holiday-sexp calls. | |
17235 | ||
17236 | * calendar/calendar.el (oriental-holidays, solar-holidays): | |
17237 | * calendar/holidays.el (list-holidays): Assume atan always bound. | |
17238 | ||
17239 | * calendar/lunar.el, calendar/solar.el: Remove floating-point check. | |
17240 | Explicitly require calendar, not cal-julian. | |
17241 | * calendar/lunar.el: Explicitly require cal-dst. | |
17242 | ||
17243 | 2008-03-26 Stefan Monnier <monnier@iro.umontreal.ca> | |
17244 | ||
17245 | * autorevert.el (auto-revert-buffers): Use buffer-live-p. | |
17246 | ||
17247 | * help-fns.el (describe-function-1, describe-variable): If no | |
17248 | replacement is provided, don't print "use nil instead". | |
17249 | ||
17250 | 2008-03-25 Roland Winkler <Roland.Winkler@physik.uni-erlangen.de> | |
17251 | ||
17252 | * proced.el: New file. | |
17253 | ||
17254 | 2008-03-25 Tetsurou Okazaki <okazaki@be.to> (tiny change) | |
17255 | ||
17256 | * emacs-lisp/byte-opt.el (side-effect-free-fns): Fix typo. | |
17257 | ||
17258 | 2008-03-25 Juri Linkov <juri@jurta.org> | |
17259 | ||
17260 | * battery.el: Add file cookie coding:iso-8859-1 for the degree sign | |
17261 | in battery-mode-line-format. | |
17262 | ||
17263 | * bindings.el (minibuffer-local-map): For the command | |
17264 | file-cache-minibuffer-complete replace keymap minibuffer-local-map | |
17265 | with map which is already locally bound to minibuffer-local-map. | |
17266 | ||
17267 | 2008-03-25 Stefan Monnier <monnier@iro.umontreal.ca> | |
17268 | ||
17269 | * subr.el (map-keymap-sorted): Rename from map-keymap-internal. | |
17270 | Remove `sort-first' argument. | |
17271 | ||
17272 | * subr.el (redisplay-end-trigger-functions) | |
17273 | (window-redisplay-end-trigger, set-window-redisplay-end-trigger) | |
17274 | (process-filter-multibyte-p, set-process-filter-multibyte): | |
17275 | Mark as obsolete. | |
17276 | ||
17277 | 2008-03-25 Juanma Barranquero <lekktu@gmail.com> | |
17278 | ||
17279 | * emacs-lisp/re-builder.el (reb-mode-common): Remove reference to | |
17280 | bogus variable `reb-kill-buffer'; don't make hooks buffer-local, | |
17281 | use the LOCAL arg of `add-hook'. | |
17282 | (reb-blink-delay, reb-mode-hook, reb-re-syntax, reb-auto-match-limit): | |
17283 | Remove spurious * from defcustom docstrings. | |
17284 | (reb-next-match, reb-prev-match, reb-enter-subexp-mode): | |
17285 | Fix typos in messages. | |
17286 | (reb-mode-buffer-p): New function. | |
17287 | (re-builder, reb-kill-buffer): Use `reb-mode-buffer-p'. Use `when'. | |
17288 | (top, reb-show-subexp, reb-auto-update, reb-delete-overlays) | |
17289 | (reb-cook-regexp, reb-update-regexp, reb-update-overlays): | |
17290 | Use `unless', `when'. | |
17291 | (re-builder-unload-function): New function. | |
17292 | ||
17293 | 2008-03-25 Dan Nicolaescu <dann@ics.uci.edu> | |
17294 | ||
17295 | * vc-hooks.el (vc-state): Add documentation for 'missing. | |
17296 | ||
17297 | 2008-03-25 Wilson Snyder <wsnyder@wsnyder.org> | |
17298 | ||
17299 | * progmodes/verilog-mode.el (verilog-auto-output) | |
17300 | (verilog-auto-input, verilog-auto-inout, verilog-auto) | |
17301 | (verilog-delete-auto): Add optional regular expression to | |
17302 | AUTOINPUT/AUTOOUTPUT/AUTOINOUT. | |
17303 | (verilog-signals-matching-regexp): New internal function for | |
17304 | signal matching. | |
17305 | ||
17306 | 2008-03-25 Johan Bockgård <bojohan@gnu.org> | |
17307 | ||
17308 | * info.el (Info-isearch-search): Always return point. | |
17309 | ||
17310 | 2008-03-25 Stefan Monnier <monnier@iro.umontreal.ca> | |
17311 | ||
17312 | * diff-mode.el (diff-remembered-defdir): New var. | |
17313 | (diff-find-file-name): Use it to flush diff-remembered-files-alist. | |
17314 | ||
17315 | 2008-03-25 Glenn Morris <rgm@gnu.org> | |
17316 | ||
17317 | * calendar/cal-bahai.el (calendar-bahai-read-date): New name for | |
17318 | calendar-bahai-prompt-for-date. Update callers, make old name an | |
17319 | obsolete alias. Doc fix. | |
17320 | * calendar/cal-coptic.el (calendar-coptic-read-date): New name for | |
17321 | coptic-prompt-for-date. Update callers, make old name an | |
17322 | obsolete alias. Doc fix. | |
17323 | * calendar/cal-hebrew.el (calendar-hebrew-read-date): New name for | |
17324 | calendar-hebrew-prompt-for-date. Update callers. Doc fix. | |
17325 | * calendar/cal-islam.el (calendar-islamic-read-date): New name for | |
17326 | calendar-islamic-prompt-for-date. Update callers. Doc fix. | |
17327 | * calendar/cal-iso.el (calendar-iso-read-date): New name for | |
17328 | calendar-iso-read-args. Update callers, make old name an obsolete | |
17329 | alias. | |
17330 | * calendar/cal-persia.el (calendar-persian-read-date): New name for | |
17331 | persian-prompt-for-date. Update callers, make old name an | |
17332 | obsolete alias. Doc fix. Move definition before use. | |
17333 | ||
17334 | * calendar/cal-x.el (diary-frame-parameters) | |
17335 | (calendar-frame-parameters, calendar-and-diary-frame-parameters) | |
17336 | (calendar-frame-1): Doc fixes. | |
17337 | (make-fancy-diary-buffer): Remove declaration. | |
17338 | (calendar-dedicate-diary): Replace call to deleted function | |
17339 | make-fancy-diary-buffer. | |
17340 | (calendar-frame-setup): New function. | |
17341 | (calendar-one-frame-setup, calendar-only-one-frame-setup) | |
17342 | (calendar-two-frame-setup): Call calendar-frame-setup to do the actual | |
17343 | work, and mark as obsolete. | |
17344 | (special-display-buffer-names): Don't mess with this; it's not our | |
17345 | business. | |
17346 | (cal-x-load-hook): Defvar it, and mark as obsolete. | |
17347 | ||
17348 | * calendar/calendar.el (calendar-remove-frame-by-deleting): | |
17349 | Default to t. Add to 'calendar group. | |
17350 | (calendar): Doc fix. Use calendar-frame-setup. | |
17351 | (calendar-basic-setup): Doc fix. Add optional NODISPLAY argument. | |
17352 | (generate-calendar-window): Doc fix. | |
17353 | ||
17354 | 2008-03-24 Stefan Monnier <monnier@iro.umontreal.ca> | |
17355 | ||
17356 | * vc-bzr.el (vc-bzr-print-log, vc-bzr-diff): Do it asynchronously. | |
17357 | ||
17358 | 2008-03-24 Drew Adams <drew.adams@oracle.com> | |
17359 | ||
17360 | * finder.el (finder-mode-syntax-table, finder-font-lock-keywords): | |
17361 | New variables. | |
17362 | (finder-mode): Use finder-mode-syntax-table. Set font-lock-defaults. | |
17363 | (finder-exit): Ignore errors rather than avoiding them. | |
17364 | Kill *Finder-package* buffer also. | |
17365 | ||
17366 | 2008-03-24 Andreas Schwab <schwab@suse.de> | |
17367 | ||
17368 | * xt-mouse.el (xterm-mouse-event): Avoid error with buttons > 5. | |
17369 | ||
17370 | 2008-03-24 Dan Nicolaescu <dann@ics.uci.edu> | |
17371 | ||
17372 | * vc-hg.el (vc-hg-after-dir-status): | |
17373 | * vc-bzr.el (vc-bzr-after-dir-status): Detect missing files. | |
17374 | ||
17375 | * vc-cvs.el (vc-cvs-after-dir-status, vc-cvs-parse-status): | |
17376 | Detect removed files. | |
17377 | ||
17378 | * vc.el (vc-status-printer): Use a different face for missing files. | |
17379 | (vc-status-hide-up-to-date): Rename from | |
17380 | vc-status-remove-up-to-date. Update all callers. | |
17381 | (vc-status-mode-map): Bind C-m. | |
17382 | ||
17383 | 2008-03-24 Alexandre Julliard <julliard@winehq.org> | |
17384 | ||
17385 | * vc-git.el (vc-git-after-dir-status-stage1): Move state matching | |
17386 | code ... | |
17387 | (vc-git--state-code): ... to this new function. | |
17388 | (vc-git-state): Use it. | |
17389 | ||
f0131492 | 17390 | 2008-03-24 Trent W. Buck <trentbuck@gmail.com> (tiny change) |
e3d51b27 MR |
17391 | |
17392 | * textmodes/remember.el (remember-mail-date) | |
17393 | (remember-store-in-mailbox): Write date and From line in such a | |
17394 | way that Mutt can read it. | |
17395 | ||
17396 | 2008-03-23 Chong Yidong <cyd@stupidchicken.com> | |
17397 | ||
17398 | * simple.el (transient-mark-mode): Turn on by default. | |
17399 | ||
17400 | 2008-03-23 Dan Nicolaescu <dann@ics.uci.edu> | |
17401 | ||
17402 | * vc-bzr.el (vc-bzr-state): Return 'removed for removed files and | |
17403 | 'unregistered for unregistered files. | |
17404 | ||
17405 | * vc-hg.el (vc-hg-state): Return 'removed for removed files. | |
17406 | ||
17407 | * bindings.el (standard-mode-line-modes): Avoid duplicating a string. | |
17408 | ||
17409 | * vc-cvs.el (vc-cvs-parse-status): Note there are problems with | |
17410 | subdirectories. | |
17411 | ||
17412 | * vc.el (vc-status-prepare-status-buffer): Fix thinko. | |
17413 | (vc-status-menu-map): Add binding for vc-status-kill-dir-status-process. | |
17414 | Add :enable for vc-status-refresh. | |
17415 | (vc-status-menu-map-filter): Remove vc-ignore-menu-filter test. | |
17416 | (vc-status-tool-bar-map): Add binding for | |
17417 | vc-status-kill-dir-status-process. | |
17418 | Don't test display-graphic-p and don't bind vc-ignore-menu-filter. | |
17419 | (vc-update-vc-status-buffer, vc-status-kill-dir-status-process): | |
17420 | Reset vc-status-process-buffer. | |
17421 | (vc-status-refresh): Don't run two refreshes at a time. | |
17422 | (vc-status): If the buffer is already in vc-status-mode only refresh. | |
17423 | (vc-status-mark-buffer-changed): Add an optional file parameter. | |
17424 | (vc-resynch-buffer): Use it. | |
17425 | (vc-delete-file): Expand the file name before using it. | |
17426 | Be careful to not create a new buffer with the old file contents. | |
17427 | Update the VC state after performing the operation. | |
17428 | ||
17429 | 2008-03-23 Andreas Schwab <schwab@suse.de> | |
17430 | ||
17431 | * menu-bar.el (menu-bar-showhide-fringe-ind-menu) [mixed]: | |
17432 | Fix radio button condition. | |
17433 | [box]: Likewise. | |
17434 | [customize]: Add radio button. | |
17435 | ||
17436 | 2008-03-23 Jay Belanger <jay.p.belanger@gmail.com> | |
17437 | ||
17438 | * calc/calc.el (calc-was-keypad-mode, calc-full-mode) | |
17439 | (calc-user-parse-tables, calc-gnuplot-default-device) | |
17440 | (calc-gnuplot-default-output, calc-gnuplot-print-device) | |
17441 | (calc-gnuplot-print-output, calc-gnuplot-geometry) | |
17442 | (calc-graph-default-resolution, calc-graph-default-resolution-3d) | |
17443 | (calc-invocation-macro, calc-trail-pointer, calc-trail-overlay) | |
17444 | (calc-undo-list, calc-redo-list, calc-main-buffer) | |
17445 | (calc-trail-buffer, calc-why, calc-last-kill, calc-dollar-values) | |
17446 | (calc-dollar-used, calc-hashes-used, calc-quick-prev-results) | |
17447 | (calc-said-hello, calc-executing-macro, calc-any-selections) | |
17448 | (calc-help-phase, calc-full-help-flag, calc-refresh-count) | |
17449 | (calc-display-dirty, calc-embedded-info, calc-embedded-active) | |
17450 | (calc-standalone-flag, var-EvalRules, math-expr-function-mapping) | |
17451 | (math-expr-variable-mapping, calc-mode-map, calc-digit-map) | |
17452 | (calc-dispatch-map, calc-do-dispatch, calc-read-key-sequence) | |
17453 | (calc-create-buffer, calc-quit): Add docstrings. | |
17454 | ||
17455 | 2008-03-23 Juanma Barranquero <lekktu@gmail.com> | |
17456 | ||
17457 | * makefile.w32-in (WINS_ALMOST): Add org. | |
17458 | ||
17459 | 2008-03-23 Dan Nicolaescu <dann@ics.uci.edu> | |
17460 | ||
17461 | * vc-cvs.el (vc-cvs-after-dir-status, vc-cvs-dir-status): | |
17462 | New functions to implement vc-status support. | |
17463 | ||
17464 | 2008-03-22 Dan Nicolaescu <dann@ics.uci.edu> | |
17465 | ||
17466 | * vc.el (vc-status-prepare-status-buffer): New function. | |
17467 | (vc-status): Use it. | |
17468 | ||
17469 | * emacs-lisp/lisp-mode.el (emacs-lisp-mode-map): Add ... where | |
17470 | appropriate. | |
17471 | ||
17472 | 2008-03-22 Alexandre Julliard <julliard@winehq.org> | |
17473 | ||
17474 | * vc-git.el (vc-git--empty-db-p) | |
17475 | (vc-git-after-dir-status-stage1-empty-db): New functions. | |
17476 | (vc-git-dir-status, vc-git-after-dir-status-stage1, vc-git-state): | |
17477 | Add support for empty repositories. | |
17478 | ||
17479 | 2008-03-22 Dan Nicolaescu <dann@ics.uci.edu> | |
17480 | ||
17481 | * vc-git.el (vc-git-annotate-extract-revision-at-line): | |
17482 | Allow "^" in a version name. | |
17483 | ||
17484 | * vc-mtn.el (vc-mtn-state): Support the added state. | |
17485 | ||
17486 | 2008-03-22 Carsten Dominik <dominik@science.uva.nl> | |
17487 | ||
17488 | * org: New directory for Org-mode. | |
17489 | ||
147135ac | 17490 | * org/org-export-latex.el: Moved from lisp/textmodes. |
e3d51b27 | 17491 | |
147135ac | 17492 | * org/org-irc.el: Moved from lisp/textmodes. |
e3d51b27 | 17493 | |
147135ac | 17494 | * org/org-mac-message.el: Moved from lisp/textmodes. |
e3d51b27 | 17495 | |
147135ac | 17496 | * org/org-mouse.el: Moved from lisp/textmodes. |
e3d51b27 | 17497 | |
147135ac | 17498 | * org/org-publish.el: Moved from lisp/textmodes. |
e3d51b27 MR |
17499 | |
17500 | 2008-03-21 Juri Linkov <juri@jurta.org> | |
17501 | ||
17502 | * comint.el (comint-dynamic-simple-complete): | |
17503 | Use variable `stub' for the second arg `common-substring' | |
17504 | of `comint-dynamic-list-completions'. | |
17505 | (comint-dynamic-list-filename-completions): | |
17506 | Use variable `filenondir' for the second arg `common-substring' | |
17507 | of `comint-dynamic-list-completions'. | |
17508 | (comint-dynamic-list-completions): Add new optional arg | |
17509 | `common-substring'. Add `common-substring' as the second arg of | |
17510 | the call to `display-completion-list'. Doc fix. | |
17511 | ||
17512 | * comint.el (comint-dynamic-complete-as-filename) | |
17513 | (comint-dynamic-list-filename-completions) | |
17514 | (comint-dynamic-simple-complete): Use `minibuffer-message' | |
17515 | to display message "No completions of %s" when a command | |
17516 | is called in the minibuffer. | |
17517 | (comint-dynamic-simple-complete): Don't display other | |
17518 | completion messages when a command is called in the minibuffer. | |
17519 | (comint-dynamic-list-completions): Use `minibuffer-message' | |
17520 | to display message " [Type space to flush ...]" when a command | |
17521 | is called in the minibuffer. | |
17522 | ||
17523 | * shell.el (shell-dynamic-complete-command) | |
17524 | (shell-dynamic-complete-environment-variable): Don't display | |
17525 | completion messages when command is called in the minibuffer. | |
17526 | ||
17527 | * emacs-lisp/lisp.el (lisp-complete-symbol): | |
17528 | Use `minibuffer-message' to display message "No completions of %s" | |
17529 | when this command is called in the minibuffer. | |
17530 | ||
17531 | * bindings.el (standard-mode-line-modes): Put special help-echo | |
17532 | tooltip on recursive edit %[ %] mode-line constructs. | |
17533 | ||
17534 | * dabbrev.el (debug-ignored-errors): Remove $ from the end of | |
17535 | "^No dynamic expansion for .* found$" to allow error messages like | |
17536 | "No dynamic expansion for \"%s\" found in this-buffer". | |
17537 | ||
17538 | 2008-03-21 Michael Albinus <michael.albinus@gmx.de> | |
17539 | ||
17540 | * net/tramp.el (tramp-methods): Fix tramp-copy-args of "pscp" and | |
17541 | "psftp". | |
17542 | ||
17543 | 2008-03-21 Stefan Monnier <monnier@iro.umontreal.ca> | |
17544 | ||
17545 | * vc-hooks.el (vc-default-mode-line-string): Add case for added files. | |
17546 | * vc.el (vc-default-dired-state-info): Use just "modified". | |
17547 | * vc-cvs.el (vc-cvs-state-heuristic): Turn rev 0 into `added'. | |
17548 | (vc-cvs-mode-line-string): Make use of the better default. | |
17549 | (vc-cvs-parse-entry): Use the new `added'. | |
17550 | (vc-cvs-dired-state-info): Remove. | |
17551 | * vc-svn.el (vc-svn-dired-state-info): Remove. | |
17552 | * vc-hg.el (vc-hg-dired-state-info): Remove. | |
17553 | * vc-git.el (vc-git-dired-state-info): Remove. | |
17554 | ||
17555 | 2008-03-21 Dan Nicolaescu <dann@ics.uci.edu> | |
17556 | ||
17557 | * vc-git.el (vc-git-status-result): New variable. | |
17558 | (vc-git-dir-status): Split out ... | |
17559 | (vc-git-after-dir-status-stage1, vc-git-after-dir-status-stage2): | |
17560 | ... these new functions and work asynchronously. | |
17561 | ||
17562 | 2008-03-21 Alexandre Julliard <julliard@winehq.org> | |
17563 | ||
17564 | * vc-git.el (vc-git-after-dir-status): Remove. | |
17565 | (vc-git-dired-state-info): Reimplement. | |
17566 | ||
17567 | 2008-03-21 Dan Nicolaescu <dann@ics.uci.edu> | |
17568 | ||
17569 | * replace.el (occur-mode-map): Add :help. | |
17570 | ||
17571 | * emacs-lisp/lisp-mode.el (emacs-lisp-mode-map): Add menu entry | |
17572 | for disassemble. | |
17573 | ||
17574 | * vc.el (vc-status-mark-buffer-changed): Better deal with files in | |
17575 | the 'added state. | |
17576 | (vc-status-remove-up-to-date): New function. | |
17577 | (vc-status-mode-map, vc-status-menu-map): Bind it. | |
17578 | (vc-status-printer): Use a different face up-to-date files. | |
17579 | (vc-resynch-buffer): Update the vc-status buffer if it exists. | |
17580 | (Todo): Remove solved entries. | |
17581 | ||
17582 | * vc-hg.el (vc-hg-state): | |
17583 | * vc-git.el (vc-git-state): | |
17584 | * vc-cvs.el (vc-cvs-parse-status): | |
17585 | * vc-bzr.el (vc-bzr-state): Return 'added when the file is in that | |
17586 | state. | |
17587 | ||
17588 | 2008-03-20 Stefan Monnier <monnier@iro.umontreal.ca> | |
17589 | ||
17590 | * progmodes/compile.el (compile): | |
17591 | * progmodes/grep.el (grep, grep-find): Use read-shell-command. | |
17592 | ||
17593 | * simple.el (minibuffer-local-shell-command-map): New var. | |
17594 | (minibuffer-complete-shell-command, read-shell-command): New funs. | |
17595 | (shell-command, shell-command-on-region): Use them. | |
17596 | ||
17597 | 2008-03-20 Dan Nicolaescu <dann@ics.uci.edu> | |
17598 | ||
17599 | * vc.el (vc-status-mark-buffer-changed): New function to implement | |
17600 | updating of the *vc-status* buffer when a buffer is saved. | |
17601 | (vc-status-mode): Use it for after-change-hook. | |
17602 | (vc-add-to-vc-status-buffer): Rename to ... | |
17603 | (vc-status-add-entry): ... this. | |
17604 | (Todo): Add new entry. | |
17605 | ||
17606 | 2008-03-20 Stefan Monnier <monnier@iro.umontreal.ca> | |
17607 | ||
17608 | * sort.el (sort-reorder-buffer): Preserve the buffer's multibyteness. | |
17609 | ||
17610 | 2008-03-20 Juanma Barranquero <lekktu@gmail.com> | |
17611 | ||
17612 | * icomplete.el (icomplete-prospects-length, icomplete-max-delay-chars) | |
17613 | (icomplete-show-key-bindings, icomplete-minibuffer-setup-hook): | |
17614 | Remove spurious * from defcustom docstrings. | |
17615 | (icomplete-compute-delay): Fix docstring and remove spurious *. | |
17616 | (icomplete-delay-completions-threshold): Fix typo in docstring and | |
17617 | remove spurious *. | |
17618 | (icomplete-completions): While collecting the list of prospective | |
17619 | candidates, don't overshoot `icomplete-prospects-length'. | |
17620 | ||
17621 | 2008-03-20 Dan Nicolaescu <dann@ics.uci.edu> | |
17622 | ||
17623 | * textmodes/refbib.el: | |
17624 | * textmodes/reftex.el: | |
17625 | * textmodes/reftex-global.el: | |
17626 | * textmodes/reftex-vars.el: | |
17627 | * textmodes/reftex-toc.el: Don't use eval-and-compile for byte | |
17628 | compiler pacifying defvars. | |
17629 | (reftex-toc-mode): Only make zmacs-regions local on XEmacs. | |
17630 | * textmodes/reftex-index.el (zmacs-regions): Remove pacifier, | |
17631 | not needed. | |
17632 | ||
17633 | 2008-03-20 Wilson Snyder <wsnyder@wsnyder.org> | |
17634 | ||
17635 | * progmodes/verilog-mode.el (verilog-easy-menu-filter): New function. | |
17636 | (verilog-stmt-menu, verilog-menu): Add :help and filter it. | |
17637 | (verilog-customize, verilog-font-customize) | |
17638 | (electric-verilog-backward-sexp, electric-verilog-forward-sexp) | |
17639 | (verilog-mode): Update documentation strings to match tool tips. | |
17640 | (verilog-auto-search-do, verilog-auto-re-search-do) | |
17641 | (verilog-skip-forward-comment-or-string): Fix verilog-auto | |
17642 | expansion when a .* appears inside a string. | |
17643 | (verilog-re-search-forward, verilog-re-search-backward): | |
17644 | Add comment to recall how this works. | |
17645 | (verilog-read-decls): Fix AUTOINPUT/AUTOOUTPUT mis-including genvars. | |
17646 | ||
17647 | 2008-03-20 Glenn Morris <rgm@gnu.org> | |
17648 | ||
17649 | * calendar/calendar.el (calendar-other-dates): New function. | |
17650 | (calendar-print-other-dates): Use calendar-other-dates. | |
17651 | * calendar/cal-menu.el (calendar-other-dates): Declare it, and remove | |
17652 | the declarations it replaces. | |
17653 | (calendar-mouse-print-dates): Use calendar-other-dates. | |
17654 | ||
17655 | * calendar/cal-bahai.el (calendar-bahai-leap-year-p) | |
17656 | (calendar-bahai-leap-base, calendar-bahai-from-absolute): Doc fixes. | |
17657 | (calendar-absolute-from-bahai): Fix the leap-year case. | |
17658 | (calendar-bahai-from-absolute): Re-use the Gregorian month. | |
17659 | (calendar-bahai-date-string, calendar-bahai-print-date): | |
17660 | Handle pre-Bahai dates. | |
17661 | ||
17662 | * calendar/cal-china.el (chinese-calendar-celestial-stem) | |
17663 | (chinese-calendar-terrestrial-branch): Make defcustoms. | |
17664 | ||
17665 | * calendar/cal-menu.el (calendar-mouse-holidays): Re-use the title. | |
17666 | (calendar-mouse-view-diary-entries): Use or. | |
17667 | (calendar-mouse-chinese-date): Remove unused command. | |
17668 | (cal-menu-load-hook): Mark as obsolete. | |
17669 | ||
17670 | * calendar/solar.el (calendar-location-name, calendar-latitude) | |
17671 | (calendar-longitude, solar-arctan, sunrise-sunset) | |
17672 | (solar-mean-equinoxes/solstices): Use the appropriate equality test. | |
17673 | ||
17674 | 2008-03-20 Jay Belanger <jay.p.belanger@gmail.com> | |
17675 | ||
17676 | * calc/calc.el: Remove outdated comments. | |
17677 | (defcalcmodevar, calc-mode-var-list-restore-default-values) | |
17678 | (calc-mode-var-list-restore-saved-values, calc-autorange-units): | |
17679 | Add docstrings. | |
17680 | ||
17681 | 2008-03-19 Jason Rumney <jasonr@gnu.org> | |
17682 | ||
17683 | * w32-fns.el (x-alternatives-map): Add S-tab mapping. | |
17684 | ||
17685 | 2008-03-19 Reiner Steib <Reiner.Steib@gmx.de> | |
17686 | ||
17687 | * net/tls.el (open-tls-stream): Reindent. | |
17688 | ||
17689 | 2008-03-19 Michael Albinus <michael.albinus@gmx.de> | |
17690 | ||
17691 | * net/tramp.el (tramp-let-maybe): Removed. | |
17692 | (tramp-drop-volume-letter): Don't use `replace-regexp-in-string'. | |
17693 | It does not exist under XEmacs. | |
17694 | (tramp-handle-file-truename, tramp-handle-expand-file-name) | |
17695 | (tramp-completion-file-name-handler): Let-bind | |
17696 | `directory-sep-char'. | |
17697 | ||
17698 | * net/tramp-fish.el (tramp-fish-handle-expand-file-name): Let-bind | |
17699 | `directory-sep-char'. | |
17700 | ||
17701 | 2008-03-19 Stefan Monnier <monnier@iro.umontreal.ca> | |
17702 | ||
17703 | * help-fns.el (describe-function-1): Don't signal "missing arglist" | |
17704 | for autoloaded keymaps. | |
17705 | ||
17706 | * progmodes/verilog-mode.el (verilog-syntax-ppss): New function. | |
17707 | (electric-verilog-terminate-line, verilog-in-struct-region-p) | |
17708 | (verilog-backward-ws&directives, verilog-forward-ws&directives) | |
17709 | (verilog-in-comment-p, verilog-in-star-comment-p) | |
17710 | (verilog-in-slash-comment-p, verilog-skip-backward-comments) | |
17711 | (verilog-parenthesis-depth, verilog-skip-backward-comment-or-string) | |
17712 | (verilog-skip-forward-comment-or-string, verilog-in-paren) | |
17713 | (verilog-skip-forward-comment-p): Use it. | |
17714 | ||
17715 | 2008-03-19 Juanma Barranquero <lekktu@gmail.com> | |
17716 | ||
17717 | * textmodes/org.el (org-link-store, org-link-follow, org-latex) | |
17718 | (org-remember-templates, org-time-stamp-rounding-minutes) | |
17719 | (org-back-over-empty-lines, org-find-base-buffer-visiting) | |
17720 | (org-columns-new): Fix typos in docstrings. | |
17721 | ||
17722 | 2008-03-19 Glenn Morris <rgm@gnu.org> | |
17723 | ||
17724 | * net/tramp.el (tramp-drop-volume-letter): Evaluate when compiling. | |
17725 | (tramp-handle-shell-command): Use condition-case rather than | |
17726 | ignore-errors. | |
17727 | ||
17728 | 2008-03-19 Dan Nicolaescu <dann@ics.uci.edu> | |
17729 | ||
17730 | * diff-mode.el (diff-header): Make the color louder. | |
17731 | (diff-refine-change): Tone the color down. | |
17732 | ||
17733 | 2008-03-19 Juanma Barranquero <lekktu@gmail.com> | |
17734 | ||
17735 | * descr-text.el (describe-char): When `describe-char-unidata-list' | |
17736 | is set to show all properties, list them in the right order. | |
17737 | ||
17738 | 2008-03-19 Stefan Monnier <monnier@iro.umontreal.ca> | |
17739 | ||
17740 | * international/mule.el (load-with-code-conversion): Avoid setting | |
17741 | default-enable-multibyte-characters. | |
17742 | ||
17743 | 2008-03-19 Gustav Hållberg <gustav@virtutech.com> (tiny change) | |
17744 | ||
17745 | * vc.el (vc-annotate-background): Fix custom type. | |
17746 | ||
17747 | 2008-03-19 Stefan Monnier <monnier@iro.umontreal.ca> | |
17748 | ||
17749 | * descr-text.el (describe-char-unidata-list): Allow specifying | |
17750 | just all properties. | |
17751 | (describe-char): Handle that new value. | |
17752 | ||
17753 | * emulation/cua-base.el (cua-paste): Signal an error in read-only buf. | |
17754 | ||
17755 | 2008-03-18 Tassilo Horn <tassilo@member.fsf.org> | |
17756 | ||
17757 | * doc-view.el (doc-view-initiate-display): Use doc-view-doc-type | |
17758 | instead of file name extension to make docs with uncommon | |
17759 | extensions work. | |
17760 | ||
17761 | 2008-03-18 Tassilo Horn <tassilo@member.fsf.org> | |
17762 | ||
17763 | * doc-view.el (require): Require cl at compile time because | |
17764 | `assert' needs it. | |
17765 | ||
17766 | 2008-03-18 Glenn Morris <rgm@gnu.org> | |
17767 | ||
17768 | * calendar/calendar.el (initial-calendar-window-hook) | |
17769 | (today-visible-calendar-hook): Doc fixes. | |
17770 | ||
17771 | 2008-03-17 Michael Albinus <michael.albinus@gmx.de> | |
17772 | ||
17773 | * net/tramp.el (tramp-root-regexp): Simplify. | |
17774 | (tramp-completion-file-name-regexp-separate): Don't insist on | |
17775 | leading "[". This prevents method or user or host completion. | |
17776 | (tramp-let-maybe): Autoload it. | |
17777 | (tramp-drop-volume-letter): Don't autoload. When not on W32, it | |
17778 | is an alias for `identity'. | |
17779 | (tramp-handle-write-region): Protect `last-coding-system-used' | |
17780 | over the trailing statements. | |
17781 | (tramp-completion-file-name-handler-post-function): Remove. | |
17782 | (tramp-completion-file-name-handler): Let-bind `directory-sep-char' | |
17783 | instead of calling `tramp-drop-volume-letter'. | |
17784 | ||
17785 | * net/tramp.el: | |
17786 | * net/tramp-uu.el: | |
17787 | * net/trampver.el: Move coding cookie at the end. | |
17788 | ||
17789 | 2008-03-17 Stefan Monnier <monnier@iro.umontreal.ca> | |
17790 | ||
17791 | * net/tramp.el (tramp-completion-mode-p): Don't use char-equal for | |
17792 | elements which may be something else than characters. | |
17793 | ||
17794 | 2008-03-17 Dan Nicolaescu <dann@ics.uci.edu> | |
17795 | ||
17796 | * vc-bzr.el (vc-bzr-dir-status, vc-bzr-after-dir-status): | |
17797 | New functions to implement vc-status support. | |
17798 | ||
17799 | * vc.el (vc-default-extra-status-menu) | |
17800 | (vc-add-to-vc-status-buffer): New functions. | |
17801 | ||
17802 | * emacs-lisp/lisp-mode.el (emacs-lisp-mode-map): Add menu entries | |
17803 | for eldoc and ielm. | |
17804 | ||
17805 | 2008-03-17 Glenn Morris <rgm@gnu.org> | |
17806 | ||
17807 | * calendar/cal-x.el (diary-frame-parameters) | |
17808 | (calendar-frame-parameters, calendar-and-diary-frame-parameters): | |
17809 | Fix custom type; add options. | |
17810 | (calendar-frame, diary-frame): Doc fixes. | |
17811 | (calendar-dedicate-diary, calendar-frame-1): Extract common code into | |
17812 | new functions. | |
17813 | (calendar-one-frame-setup, calendar-two-frame-setup): Doc fixes. | |
17814 | Use calendar-frame-1 and calendar-dedicate-diary. | |
17815 | (calendar-one-frame-setup): Also handle only-one-frame case. | |
17816 | (calendar-only-one-frame-setup): Doc fix. Just call | |
17817 | calendar-one-frame-setup. | |
17818 | ||
17819 | * calendar/diary-lib.el: Re-order some definitions before their use. | |
17820 | (nongregorian-diary-listing-hook, nongregorian-diary-marking-hook) | |
17821 | (diary-list-entries): Doc fixes. | |
17822 | (make-fancy-diary-display): Remove function. | |
17823 | ||
17824 | * calendar/calendar.el (calendar-today-marker) | |
17825 | (initial-calendar-window-hook, today-visible-calendar-hook) | |
17826 | (today-invisible-calendar-hook, diary-file, calendar-basic-setup) | |
17827 | (calendar-star-date, calendar-mark-today): Doc fixes. | |
17828 | (today-visible-calendar-hook): Add options. | |
17829 | (calendar-in-read-only-buffer): New macro. | |
17830 | (calendar-basic-setup): Adapt for change in calendar-read-date. | |
17831 | Place holiday let inside if. | |
17832 | (calendar-day-name-array, calendar-month-name-array): Make defcustoms. | |
17833 | (calendar-read-date): Set day to 1 rather than nil in the NODAY case. | |
17834 | (calendar-print-other-dates): Use one let rather than many. | |
17835 | ||
17836 | * calendar/calendar.el (calendar-in-read-only-buffer): New macro. | |
17837 | (calendar-print-other-dates): | |
17838 | * calendar/cal-hebrew.el (list-yahrzeit-dates): | |
17839 | * calendar/diary-lib.el (simple-diary-display, fancy-diary-display): | |
17840 | * calendar/holidays.el (calendar-list-holidays, list-holidays) | |
17841 | (calendar-cursor-holidays): | |
17842 | * calendar/lunar.el (calendar-phases-of-moon): Use | |
17843 | calendar-in-read-only-buffer to replace previous code and disable undo. | |
17844 | ||
17845 | 2008-03-16 Juri Linkov <juri@jurta.org> | |
17846 | ||
17847 | * isearch.el (isearch-edit-string): Use search-ring-yank-pointer | |
17848 | and regexp-search-ring-yank-pointer for the HISTPOS argument of | |
17849 | read-from-minibuffer to provide the correct initial minibuffer | |
17850 | history position in isearch-edit-string when it is called from | |
17851 | isearch-ring-adjust. | |
17852 | ||
17853 | * menu-bar.el (kill-this-buffer): Use menu-bar-non-minibuffer-window-p | |
17854 | to check if the current buffer is the minibuffer, and in this case | |
17855 | call abort-recursive-edit to kill the minibuffer. Doc fix. | |
17856 | (kill-this-buffer-enabled-p): Allow this function to return non-nil | |
17857 | when the current buffer is the minibuffer. | |
17858 | ||
17859 | * simple.el (goto-line): Leave mark at previous position. Doc fix. | |
17860 | ||
17861 | 2008-03-16 Tassilo Horn <tassilo@member.fsf.org> | |
17862 | ||
17863 | * doc-view.el (doc-view-doc->txt, doc-view-convert-current-doc): | |
17864 | Use non-nil PARENTS attribute of make-directory instead of | |
17865 | explicitly checking existence the current cache directory. | |
17866 | ||
17867 | 2008-03-16 Daiki Ueno <ueno@unixuser.org> | |
17868 | ||
17869 | * epa-file.el (epa-file-select-keys): Fix bug with C-x C-s after | |
17870 | M-x epa-file-select-keys. | |
17871 | ||
17872 | 2008-03-16 Kenichi Handa <handa@m17n.org> | |
17873 | ||
17874 | * international/mule.el (auto-coding-alist): | |
17875 | Use no-conversion-multibyte for archive files. | |
17876 | ||
17877 | 2008-03-16 Glenn Morris <rgm@gnu.org> | |
17878 | ||
17879 | * calendar/diary-lib.el (calendar-mark-complex, calendar-mark-1): | |
17880 | New functions. | |
17881 | * calendar/cal-bahai.el (calendar-mark-1): Autoload it. | |
17882 | (calendar-bahai-mark-date-pattern): Add optional argument `color'. | |
17883 | Use calendar-mark-1. | |
17884 | * calendar/cal-hebrew.el (calendar-mark-complex): Autoload it. | |
17885 | (mark-hebrew-calendar-date-pattern): Add optional argument `color'. | |
17886 | Use calendar-mark-complex. | |
17887 | * calendar/cal-islam.el (calendar-mark-1): Autoload it. | |
17888 | (mark-islamic-calendar-date-pattern): Add optional argument `color'. | |
17889 | Use calendar-mark-1. | |
17890 | ||
17891 | * calendar/calendar.el (calendar-mod): Remove. | |
17892 | * calendar/cal-china.el (calendar-chinese-from-absolute) | |
17893 | (calendar-chinese-date-string): Expand calendar-mod calls. | |
17894 | * calendar/cal-mayan.el (calendar-mayan-tzolkin-from-absolute): | |
17895 | Expand calendar-mod calls. | |
17896 | ||
17897 | * calendar/cal-bahai.el (calendar-bahai-date-string): Use a single let. | |
17898 | (diary-bahai-insert-entry, diary-bahai-insert-monthly-entry) | |
17899 | (diary-bahai-insert-yearly-entry): Use let rather than let*. | |
17900 | Move obsolete aliases after the functions that replaced them. | |
17901 | ||
17902 | * calendar/cal-hebrew.el (calendar-absolute-from-hebrew) | |
17903 | (hebrew-calendar-yahrzeit, insert-hebrew-diary-entry) | |
17904 | (insert-monthly-hebrew-diary-entry, insert-yearly-hebrew-diary-entry): | |
17905 | Use let rather than let*. | |
17906 | (calendar-hebrew-prompt-for-date): New function. | |
17907 | (calendar-goto-hebrew-date): Use calendar-hebrew-prompt-for-date. | |
17908 | (holiday-tisha-b-av-etc): Use unless, let. | |
17909 | ||
17910 | * calendar/cal-islam.el (calendar-islamic-prompt-for-date): New func. | |
17911 | (calendar-goto-islamic-date): Use calendar-islamic-prompt-for-date. | |
17912 | ||
17913 | * calendar/cal-mayan.el (calendar-mayan-haab-to-string): Simplify. | |
17914 | ||
17915 | * calendar/calendar.el (calendar-for-loop): Add indent spec. | |
17916 | ||
17917 | * calendar/diary-lib.el (diary-remind-message, mark-sexp-diary-entries) | |
17918 | (list-sexp-diary-entries, diary-font-lock-sexps): Use format rather | |
17919 | than concat. | |
17920 | (diary): Remove un-needed let. | |
17921 | (view-other-diary-entries): Rename argument. | |
17922 | (diary-list-entries-2): New function. | |
17923 | (diary-list-entries-1, diary-list-entries): Use diary-list-entries-2. | |
17924 | (print-diary-entries): Use unless. | |
17925 | (diary-mark-entries-1): Change argument order, make all but | |
17926 | markfunc optional. Handle the standard (Gregorian) case. | |
17927 | Use match-string-no-properties. Handle marks. | |
17928 | (mark-diary-entries): Use diary-mark-entries-1. | |
17929 | (diary-font-lock-keywords-1): New macro. | |
17930 | (diary-font-lock-keywords): Use diary-font-lock-keywords-1. | |
17931 | ||
17932 | 2008-03-16 Ulf Jasper <ulf.jasper@web.de> | |
17933 | ||
17934 | * calendar/icalendar.el (icalendar-version): Increase to 0.18. | |
17935 | (icalendar-export-hidden-diary-entries): New variable. | |
17936 | (icalendar-export-region): Use icalendar-export-hidden-diary-entries. | |
17937 | In case of error, insert full error-val. | |
17938 | (icalendar-first-weekday-of-year): Remove `offset' argument. Doc fix. | |
17939 | Use calendar-day-of-week. Return the day number. | |
17940 | (icalendar--convert-weekly-to-ical): Use funcall rather than apply. | |
17941 | ||
17942 | 2008-03-16 Craig Markwardt <Craig.Markwardt@nasa.gov> | |
17943 | ||
17944 | * calendar/icalendar.el (icalendar-recurring-start-year): New variable. | |
17945 | (icalendar--diarytime-to-isotime): Fix treatment of 12:00pm - 12:59pm. | |
17946 | (icalendar-export-region): Ignore hidden diary entries. | |
17947 | (icalendar--convert-ordinary-to-ical): Fix case where event | |
17948 | spans across midnight boundary. | |
17949 | (icalendar-first-weekday-of-year): New function. | |
17950 | (icalendar--convert-weekly-to-ical): Allow user-selectable start | |
17951 | year for recurring events (Mozilla calendars do not propagate | |
17952 | recurring events forever, so year 2000 start date was not working). | |
17953 | (icalendar--convert-yearly-to-ical): Remove extra spaces in | |
17954 | formatting of BYMONTH and BYMONTHDAY (not allowed by ical spec). | |
17955 | ||
17956 | 2008-03-15 Michael Albinus <michael.albinus@gmx.de> | |
17957 | ||
17958 | * net/tramp.el (tramp-root-regexp): New defconst. | |
17959 | (tramp-completion-file-name-regexp-unified) | |
17960 | (tramp-completion-file-name-regexp-separate) | |
17961 | (tramp-completion-file-name-regexp-url): Use it. | |
17962 | (tramp-do-copy-or-rename-file-via-buffer): | |
17963 | Set `enable-multibyte-characters' to nil. Set `jka-compr-inhibit' to | |
17964 | t for `insert-file-contents-literally'. | |
17965 | (tramp-drop-volume-letter): Rewrite, using `tramp-root-regexp'. | |
17966 | Autoload it. | |
17967 | (tramp-completion-file-name-handler-post-function): New defconst. | |
17968 | (tramp-completion-file-name-handler): Use it. | |
17969 | (tramp-maybe-open-connection): Update calls to | |
17970 | `tramp-flush-connection-property' for removed 2nd argument. | |
17971 | ||
17972 | 2008-03-15 Stefan Monnier <monnier@iro.umontreal.ca> | |
17973 | ||
17974 | * vc-bzr.el (vc-bzr-diff): Use a faster invocation when possible. | |
17975 | (vc-bzr-complete-with-prefix, vc-bzr-revision-completion-table): | |
17976 | New functions. | |
17977 | ||
17978 | 2008-03-15 Glenn Morris <rgm@gnu.org> | |
17979 | ||
17980 | * calendar/diary-lib.el (diary-list-entries-1, diary-mark-entries-1): | |
17981 | New functions. | |
17982 | * calendar/cal-bahai.el (number, original-date, add-to-diary-list) | |
17983 | (diary-name-pattern, mark-calendar-days-named): Remove declarations. | |
17984 | (diary-list-entries-1, diary-mark-entries-1): Autoload. | |
17985 | (diary-bahai-list-entries): Use diary-list-entries-1. | |
17986 | (diary-bahai-mark-entries): Doc fix. Use diary-mark-entries-1. | |
17987 | * calendar/cal-hebrew.el (number, original-date, add-to-diary-list) | |
17988 | (diary-name-pattern, mark-calendar-days-named): Remove declarations. | |
17989 | (diary-list-entries-1, diary-mark-entries-1): Autoload. | |
17990 | (list-hebrew-diary-entries): Use diary-list-entries-1. | |
17991 | (mark-hebrew-diary-entries): Doc fix. Use diary-mark-entries-1. | |
17992 | * calendar/cal-islam.el (number, original-date, add-to-diary-list) | |
17993 | (diary-name-pattern, mark-calendar-days-named): Remove declarations. | |
17994 | (diary-list-entries-1, diary-mark-entries-1): Autoload. | |
17995 | (list-islamic-diary-entries): Use diary-list-entries-1. | |
17996 | (mark-islamic-diary-entries): Doc fix. Use diary-mark-entries-1. | |
17997 | ||
17998 | * calendar/appt.el (appt-check, appt-delete, appt-make-list): Use caar. | |
17999 | ||
18000 | * calendar/cal-bahai.el (calendar-bahai-epoch): Doc fix. | |
18001 | ||
18002 | * calendar/cal-china.el (number-chinese-months) | |
18003 | (calendar-chinese-from-absolute): Use nth, caar. | |
18004 | ||
18005 | * calendar/cal-coptic.el (coptic-calendar-epoch): Doc fix. | |
18006 | ||
18007 | * calendar/cal-french.el (french-calendar-accents): Doc fix. | |
18008 | ||
18009 | * calendar/cal-hebrew.el (calendar-hebrew-month-name-array-common-year) | |
18010 | (calendar-hebrew-month-name-array-leap-year) | |
18011 | (hebrew-calendar-parashiot-names): Make constants. | |
18012 | (diary-parasha): Move definition after constants it uses. | |
18013 | ||
18014 | * calendar/cal-html.el (cal-html-insert-link-yearpage) | |
18015 | (cal-html-htmlify-list): Doc fix. | |
18016 | (cal-html-htmlify-entry): Use nth. | |
18017 | ||
18018 | * calendar/cal-islam.el (calendar-islamic-month-name-array) | |
18019 | (calendar-islamic-epoch): Make constants. | |
18020 | (calendar-islamic-epoch): Doc fix. | |
18021 | ||
18022 | * calendar/cal-menu.el (cal-menu-goto-menu): Use "Go To". | |
18023 | ||
18024 | * calendar/cal-tex.el (cal-tex-hook, cal-tex-insert-preamble) | |
18025 | (cal-tex-month-name): Doc fix. | |
18026 | (cal-tex-last-blank-p): Use zerop. | |
18027 | ||
18028 | * calendar/calendar.el (european-calendar-style, calendar-for-loop) | |
18029 | (calendar-sum, calendar-insert-indented, mouse-calendar-other-month) | |
18030 | (calendar-cursor-to-date): Doc fix. | |
18031 | (hebrew-holidays-1, hebrew-holidays-4): Simplify. | |
18032 | (extract-calendar-day, extract-calendar-year): Use cadr, nth. | |
18033 | (calendar-day-number): Use when. | |
18034 | (generate-calendar-month): Use dotimes. | |
18035 | (exit-calendar, calendar-print-other-dates): Use let rather than let*. | |
18036 | (calendar-set-mark): Reverse conditional. | |
18037 | (calendar-make-alist): Move definition before use. | |
18038 | ||
18039 | * calendar/diary-lib.el (diary-face-attrs) | |
18040 | (diary-glob-file-regexp-prefix, diary-selective-display) | |
18041 | (number-of-diary-entries, diary-list-entries, diary-goto-entry) | |
18042 | (list-sexp-diary-entries, diary-date, diary-block, diary-float) | |
18043 | (diary-anniversary, diary-cyclic) | |
18044 | (diary-fancy-font-lock-fontify-region-function): Doc fixes. | |
18045 | (diary-header-line-format): Change wording. | |
18046 | (diary-list-entries): Set `date-start' in let. | |
18047 | (include-other-diary-files, mark-included-diary-files): Use format. | |
18048 | (simple-diary-display, fancy-diary-display): Use cadr, unless. | |
18049 | (mark-diary-entries): Use 1+. | |
18050 | (mark-sexp-diary-entries, list-sexp-diary-entries): Use when. | |
18051 | (mark-calendar-month): Use dotimes. | |
18052 | ||
18053 | * calendar/holidays.el (displayed-month, displayed-year): | |
18054 | Move declarations where needed. | |
18055 | (calendar-list-holidays): Doc fix. | |
18056 | ||
18057 | * calendar/parse-time.el (parse-time-string): Simplify. | |
18058 | ||
18059 | * calendar/solar.el (solar-n-hemi-seasons, solar-s-hemi-seasons): | |
18060 | Make constants. | |
18061 | (solar-sunrise-sunset): Rename some local variables for clarity. | |
18062 | (sunrise-sunset): Use zerop. | |
18063 | (solar-mean-equinoxes/solstices): Doc fix. | |
18064 | ||
18065 | * calendar/timeclock.el (timeclock-time-to-seconds, timeclock-log-data): | |
18066 | Use nth. | |
18067 | (timeclock-completing-read, timeclock-generate-report): Use zerop. | |
18068 | (timeclock-mean, timeclock-generate-report): Use dolist. | |
18069 | ||
18070 | * calendar/todo-mode.el (todo-add-category): Simplify. | |
18071 | (todo-more-important-p, todo-delete-item, todo-file-item): | |
18072 | Use unless, when. | |
18073 | (todo-top-priorities): Use zerop. | |
18074 | ||
18075 | 2008-03-14 Nick Roberts <nickrob@snap.net.nz> | |
18076 | ||
18077 | * buff-menu.el (list-buffers-noselect): Display buffer name in | |
18078 | tooltip instead of mouse binding when it doesn't fit in the list. | |
18079 | ||
18080 | 2008-03-14 Dan Nicolaescu <dann@ics.uci.edu> | |
18081 | ||
18082 | * faces.el (xw-defined-colors): | |
18083 | * simple.el (widget-convert, shell-mode): Declare as functions | |
18084 | instead of autoloading. | |
18085 | ||
18086 | * abbrev.el: | |
18087 | * button.el: | |
18088 | * cus-face.el: | |
18089 | * ediff-hook.el: | |
18090 | * emacs-lisp/backquote.el: | |
18091 | * emacs-lisp/timer.el: | |
18092 | * facemenu.el: | |
18093 | * faces.el: | |
18094 | * menu-bar.el: | |
18095 | * simple.el: | |
18096 | * subr.el: | |
18097 | * textmodes/fill.el: | |
18098 | * textmodes/paragraphs.el: Remove autoloads, redundant when the | |
18099 | files are preloaded. | |
18100 | ||
18101 | 2008-03-14 Stefan Monnier <monnier@iro.umontreal.ca> | |
18102 | ||
18103 | * desktop.el (desktop-create-buffer): Don't catch errors if | |
18104 | debug-on-error is set. | |
18105 | ||
18106 | 2008-03-14 Eli Zaretskii <eliz@gnu.org> | |
18107 | ||
18108 | * makefile.w32-in ($(lisp)/calendar/cal-loaddefs.el) | |
18109 | ($(lisp)/calendar/diary-loaddefs.el) | |
18110 | ($(lisp)/calendar/hol-loaddefs.el): New targets. | |
18111 | (LOADDEFS): New macro. | |
18112 | (autoloads): Depend on $(LOADDEFS). | |
18113 | ||
18114 | 2008-03-14 Dan Nicolaescu <dann@ics.uci.edu> | |
18115 | ||
18116 | * font-lock.el (featurep): Remove test, not useful anymore. | |
18117 | (facemenu-keymap): Move key binding ... | |
18118 | * facemenu.el (facemenu-keymap): ... here. | |
18119 | ||
18120 | * vc-bzr.el (vc-bzr-print-log): Insert a file marker. Run the log | |
18121 | for each file in the list. | |
18122 | (vc-bzr-log-view-mode): Recognize the file marker. | |
18123 | ||
18124 | * emacs-lisp/lisp-mode.el (emacs-lisp-mode-map): Add menu entries | |
18125 | for checkdoc and profiling. | |
18126 | ||
18127 | 2008-03-14 Bastien Guerry <bzg@altern.org> | |
18128 | ||
18129 | * textmodes/flyspell.el (nxml-mode): Add the right | |
18130 | `flyspell-mode-predicate'. | |
18131 | ||
18132 | * wid-edit.el (link): Use 'mouse-face for :follow-link. | |
18133 | ||
18134 | 2008-03-14 Glenn Morris <rgm@gnu.org> | |
18135 | ||
18136 | * calendar/solar.el: Reorder so that functions are defined before use. | |
18137 | (displayed-month, displayed-year): Move declarations where needed. | |
18138 | (solar-get-number): Move definition before use. Use unless. | |
18139 | (solar-equatorial-coordinates): Simplify. | |
18140 | (solar-sunrise-and-sunset): Use let rather than let*. | |
18141 | (solar-longitude, solar-equinoxes-solstices): Use cadr, nth. | |
18142 | ||
18143 | * startup.el (command-line-1): Rename -internal-script back to | |
18144 | -scriptload (reverts previous change). | |
18145 | ||
18146 | * calendar/cal-bahai.el (calendar-bahai-month-name-array) | |
18147 | (calendar-bahai-leap-base): Add doc strings. | |
18148 | (calendar-bahai-prompt-for-date, calendar-bahai-mark-date-pattern): | |
18149 | Move definition before use. | |
18150 | (calendar-bahai-goto-date, diary-bahai-list-entries): Doc fix. | |
18151 | (diary-bahai-list-entries, diary-bahai-mark-entries): Move some constant | |
18152 | variables outside the loop. Use dolist. | |
18153 | (holiday-bahai, calendar-bahai-mark-date-pattern): Use unless. | |
18154 | ||
18155 | * calendar/cal-china.el: Re-order so that functions are defined before | |
18156 | use. | |
18157 | (displayed-month, displayed-year): Move declarations where needed. | |
18158 | (chinese-calendar-time-zone, calendar-goto-chinese-date): Doc fix. | |
18159 | (chinese-calendar-celestial-stem, chinese-calendar-terrestrial-branch): | |
18160 | Add doc strings. | |
18161 | (chinese-year-cache): Recenter on 2010. Doc fix. | |
18162 | (chinese-year, number-chinese-months, calendar-absolute-from-chinese): | |
18163 | Doc fix. Simplify. | |
18164 | (chinese-year-cache-init): New function. | |
18165 | (compute-chinese-year, holiday-chinese-new-year) | |
18166 | (calendar-chinese-date-string, calendar-goto-chinese-date) | |
18167 | (make-chinese-month-assoc-list): Use cadr, nth. | |
18168 | (chinese-months): Remove un-needed let. | |
18169 | ||
18170 | * calendar/cal-coptic.el (coptic-calendar-month-name-array) | |
18171 | (ethiopic-calendar-month-name-array, ethiopic-name): Add doc strings. | |
18172 | (coptic-prompt-for-date): Move definition before use. | |
18173 | ||
18174 | * calendar/cal-dst.el (calendar-time-zone-daylight-rules): Simplify. | |
18175 | (calendar-dst-find-data, calendar-daylight-time-offset) | |
18176 | (calendar-standard-time-zone-name, calendar-daylight-time-zone-name) | |
18177 | (calendar-daylight-savings-starts-time) | |
18178 | (calendar-daylight-savings-ends-time): Use cadr, nth. | |
18179 | ||
18180 | * calendar/cal-french.el (french-calendar-epoch) | |
18181 | (calendar-goto-french-date): Doc fix. | |
18182 | (french-calendar-month-name-array) | |
18183 | (french-calendar-multibyte-month-name-array) | |
18184 | (french-calendar-day-name-array, french-calendar-special-days-array): | |
18185 | Add doc strings. | |
18186 | ||
18187 | * calendar/cal-hebrew.el (displayed-month, displayed-year) | |
18188 | (original-date): Move declarations where needed. | |
18189 | (calendar-goto-hebrew-date, list-hebrew-diary-entries, diary-yahrzeit): | |
18190 | Doc fix. | |
18191 | (list-hebrew-diary-entries, mark-hebrew-diary-entries): Move some | |
18192 | constant variables outside the loop. Use dolist. | |
18193 | ||
18194 | * calendar/cal-islam.el (displayed-month, displayed-year) | |
18195 | (original-date): Move declarations where needed. | |
18196 | (islamic-calendar-day-number): Remove unused local variable `day'. | |
18197 | (calendar-goto-islamic-date): Doc fix. | |
18198 | (holiday-islamic): Use unless. | |
18199 | (list-islamic-diary-entries, mark-islamic-diary-entries): Move some | |
18200 | constant variables outside the loop. Use dolist. | |
18201 | (mark-islamic-calendar-date-pattern): Move definition before use. | |
18202 | Use unless. | |
18203 | (mark-islamic-diary-entries): Doc fix. | |
18204 | (insert-islamic-diary-entry, insert-monthly-islamic-diary-entry) | |
18205 | (insert-yearly-islamic-diary-entry): Use let rather than let*. | |
18206 | ||
18207 | * calendar/cal-julian.el (calendar-absolute-from-julian): | |
18208 | Move definition before use. Remove un-needed local `day'. | |
18209 | (calendar-goto-julian-date, calendar-goto-astro-day-number): Doc fix. | |
18210 | ||
18211 | * calendar/cal-mayan.el (calendar-mayan-haab-month-name-array) | |
18212 | (calendar-mayan-tzolkin-names-array): Add doc strings. | |
18213 | (calendar-mayan-long-count-from-absolute): Use a single let. | |
18214 | (calendar-string-to-mayan-long-count): Simplify. | |
18215 | (calendar-next-haab-date, calendar-previous-haab-date) | |
18216 | (calendar-next-tzolkin-date, calendar-previous-tzolkin-date) | |
18217 | (calendar-previous-calendar-round-date) | |
18218 | (calendar-goto-mayan-long-count-date, calendar-mayan-date-string): | |
18219 | Doc fix. | |
18220 | (calendar-mayan-tzolkin-haab-on-or-before): Use zerop. | |
18221 | (calendar-mayan-date-string, calendar-print-mayan-date) | |
18222 | (calendar-read-mayan-haab-date, calendar-read-mayan-tzolkin-date) | |
18223 | (calendar-mayan-long-count-common-era): Move definitions before use. | |
18224 | ||
18225 | * calendar/cal-menu.el (displayed-year): Move declaration where needed. | |
18226 | (calendar-event-to-date, cal-tex-mouse-week, cal-tex-mouse-week-iso): | |
18227 | Doc fix. | |
18228 | (calendar-mouse-goto-date): Move definition before use. | |
18229 | ||
18230 | * calendar/cal-move.el (calendar-cursor-to-nearest-date): Use or, when. | |
18231 | Move definition before use. | |
18232 | (calendar-cursor-to-visible-date): Move definition before use. | |
18233 | (calendar-scroll-left): Use unless and zerop. Combine lets into one, | |
18234 | and place inside the conditional. | |
18235 | (calendar-forward-day): Simplify. | |
18236 | (calendar-end-of-month): Use unless. | |
18237 | (calendar-goto-day-of-year): Doc fix. | |
18238 | Relocate obsolete aliases after their replacements. | |
18239 | ||
18240 | * calendar/cal-persia.el (calendar-goto-persian-date): Doc fix. | |
18241 | ||
18242 | * calendar/diary-lib.el (mark-diary-entries): Move some constant | |
18243 | variables outside the diary-date-forms loop. | |
18244 | ||
18245 | * calendar/calendar.el (diary-file): Doc fix. | |
18246 | (calendar-buffer-list): Return buffers rather than strings (fixes | |
18247 | previous change). | |
18248 | (hebrew-holidays-4): Fix typo. | |
18249 | ||
18250 | * calendar/holidays.el (displayed-month, displayed-year): | |
18251 | Move declarations where needed. | |
18252 | (calendar-holiday-list, calendar-list-holidays) | |
18253 | (holiday-filter-visible-calendar): Move definitions before use. | |
18254 | (list-holidays): Use cadr. | |
18255 | Relocate obsolete aliases after their replacements. | |
18256 | ||
18257 | * calendar/lunar.el (date, displayed-month, displayed-year): | |
18258 | Move declarations where needed. | |
18259 | (lunar-phase-list): Move definition after functions it uses. | |
18260 | (calendar-phases-of-moon, diary-phases-of-moon) | |
18261 | (lunar-new-moon-on-or-after): Use cadr, nth. | |
18262 | (lunar-new-moon-on-or-after): Doc fix. | |
18263 | ||
18264 | * textmodes/org-irc.el (top-level): CL not required when compiling. | |
18265 | (org-irc-visit-erc): Replace runtime CL functions. | |
18266 | ||
18267 | * textmodes/org-publish.el (declare-function): Add compatibility stub. | |
18268 | (org-publish-delete-dups): Declare as function. | |
18269 | ||
18270 | 2008-03-14 Dan Nicolaescu <dann@ics.uci.edu> | |
18271 | ||
18272 | * vc-bzr.el (vc-bzr-log-view-mode): "." can be part of a revno. | |
18273 | (vc-bzr-show-log-entry): Make regexp match more cases. | |
18274 | (vc-diff-switches-list): Remove autoload, not needed. | |
18275 | ||
18276 | 2008-03-14 Juri Linkov <juri@jurta.org> | |
18277 | ||
18278 | * isearch.el (isearch-edit-string): Remove one call to | |
18279 | `isearch-push-state' not to push an inconsistent state, | |
18280 | but keep another correct call to `isearch-push-state'. | |
18281 | (isearch-ring-adjust): Call `isearch-push-state' only when | |
18282 | `search-ring-update' is non-nil since `isearch-edit-string' | |
18283 | already pushes its state. | |
18284 | (isearch-message): Improve matching the failed part by checking | |
18285 | if the original message starts with the last successful message. | |
18286 | ||
18287 | * dired.el (dired-warn-writable): Rename to `dired-perm-write'. | |
18288 | (dired-perm-write): Rename from `dired-warn-writable'. | |
18289 | Change parent face from `font-lock-warning-face' to | |
18290 | `font-lock-comment-delimiter-face'. | |
18291 | (dired-warn-writable-face): Rename to `dired-perm-write-face'. | |
18292 | (dired-perm-write-face): Rename from `dired-warn-writable-face'. | |
18293 | (dired-font-lock-keywords): Replace `dired-warn-writable-face' | |
18294 | with `dired-perm-write-face'. | |
18295 | ||
18296 | 2008-03-13 Tassilo Horn <tassilo@member.fsf.org> | |
18297 | ||
18298 | * doc-view.el (doc-view-doc->txt, doc-view-convert-current-doc): | |
18299 | Create cache dir only if it doesn't already exist. | |
18300 | ||
18301 | 2008-03-13 Stefan Monnier <monnier@iro.umontreal.ca> | |
18302 | ||
18303 | * progmodes/sh-script.el (sh-font-lock-quoted-subshell): Fix handling | |
18304 | of \ and '. | |
18305 | ||
18306 | 2008-03-13 Johan Bockgård <bojohan@gnu.org> | |
18307 | ||
18308 | * net/browse-url.el (browse-url-text-xterm): | |
18309 | Unquote browse-url-text-browser. | |
18310 | ||
18311 | 2008-03-13 Carsten Dominik <dominik@science.uva.nl> | |
18312 | ||
18313 | * textmodes/org-mouse.el: Version number change. | |
18314 | ||
18315 | * textmodes/org-publish.el (org-publish-expand-components): | |
18316 | Remove null projects from the list of components. | |
18317 | (org-publish-attachment): Bugfix: handle mandatory argument pub-dir. | |
18318 | Remove unused retrieval of the :publishing-directory property. | |
18319 | (org-publish-file): Bugfix: when using a relative directory as the | |
18320 | publishing directory, convert it to a directory filename. | |
18321 | (org-publish-project): New alias. | |
18322 | (org-publish-get-files): Protect against empty p. | |
18323 | (org-publish-file): Send an error when file is not part of any project. | |
18324 | (org-publish-file): Offer to refresh the list of files in known | |
18325 | project when the current file is not part of any project. | |
18326 | (org-publish-before-export-hook) | |
18327 | (org-publish-after-export-hook): New hooks. | |
18328 | (org-publish-org-to): Use new hooks and kill buffers. | |
18329 | (org-publish-file): Remove the code for killing buffers. | |
18330 | (org-publish-initialize-files-alist): Use interactive. | |
18331 | (org-publish-file): If the publishing function creates a new | |
18332 | buffer, kill it after publishing. | |
18333 | (org-publish-timestamp-filename): Protect ":" in file name path | |
18334 | under windows. | |
18335 | ||
18336 | * textmodes/org-export-latex.el (org-export-as-latex): Revert the | |
18337 | change that killed the LaTeX buffer. | |
18338 | ||
18339 | * textmodes/org.el (org-ctrl-c-star): Implement a missing branch | |
18340 | in the decision tree. | |
18341 | (org-select-remember-template): Clean the code. | |
18342 | (org-prepare-dblock): Add the extra :content parameter. | |
18343 | (org-write-agenda): New output type ".ics" files. | |
18344 | (org-write-agenda): Call `org-icalendar-verify-function', both for | |
18345 | time stamps and for TODO entries. | |
18346 | (org-agenda-collect-markers, org-create-marker-find-array) | |
18347 | (org-check-agenda-marker-table): New functions. | |
18348 | (org-agenda-marker-table): New variable. | |
18349 | (org-export-as-html): Revert the change that killed the html | |
18350 | buffer. Side effects first need to be studied carefully. | |
18351 | (org-get-tags-at): Fix the structure of the condition-case statement. | |
18352 | (org-ts-regexp0, org-repeat-re, org-display-custom-time) | |
18353 | (org-timestamp-change): Fix regular expressions to swallow the | |
18354 | extra character for repeat-shift control. | |
18355 | (org-auto-repeat-maybe): Implement the new repeater mechanisms. | |
18356 | (org-get-legal-level): Alias to `org-get-valid-level'. | |
18357 | (org-dblock-write:clocktable): Add a :link parameter, linking | |
18358 | headlines to their location in the Org agenda files. | |
18359 | (org-get-tags-at): Bugfix: prevent `org-back-to-heading' from | |
18360 | throwing an error when getting tags before headlines. | |
18361 | (org-timestamp-change, org-modify-ts-extra) | |
18362 | (org-ts-regexp1): Fix timestamp editing. | |
18363 | (org-agenda-custom-commands-local-options): New constant. | |
18364 | (org-agenda-custom-commands): | |
18365 | Use `org-agenda-custom-commands-local-options' to improve customize | |
18366 | type. "htmlize": Removed hack to fix face problem with htmlize, | |
18367 | it no longer seem necessary. | |
18368 | (org-follow-link-hook): New hook. | |
18369 | (org-agenda-custom-commands): Add "Component" as a tag for each | |
18370 | item in a command series. | |
18371 | (org-open-at-point): Run `org-follow-link-hook'. | |
18372 | (org-agenda-schedule): Bugfix: don't display marker type when it | |
18373 | is nil. | |
18374 | (org-store-link): org-irc required. | |
18375 | (org-set-regexps-and-options): Parse the new logging options. | |
18376 | (org-extract-log-state-settings): New function. | |
18377 | (org-todo): Handle the new ways of recording state change stuff. | |
18378 | (org-local-logging): New function. | |
18379 | (org-columns-open-link): Fix bug with opening link in column view. | |
18380 | (org-local-logging): New function. | |
18381 | (org-todo): Make sure that LOGGING properties are honoured. | |
18382 | (org-todo-keywords): Improve docstring. | |
18383 | (org-startup-options): Cleanup startup options. | |
18384 | (org-set-regexps-and-options): Process the "!" markers. | |
18385 | (org-todo): Respect the new logging stuff. | |
18386 | (org-log-note-how): New variable. | |
18387 | (org-add-log-maybe): New parameter HOW that defines how logging | |
18388 | should be done and also overrides PURPOSE. Add a docstring. | |
18389 | (org-add-log-note): Check if we really need to ask for a note. | |
18390 | (org-get-current-options): Digest the new keyword. | |
18391 | (org-agenda-reset-markers): Rename from | |
18392 | `org-agenda-maybe-reset-markers'. Remove FORCE argument. | |
18393 | (org-diary, org-agenda-quit, org-prepare-agenda): Call the renamed | |
18394 | function, without force argument. | |
18395 | (org-buffer-property-keys): Bind local variables s and p. | |
18396 | (org-make-tags-matcher): Allow "" to match an empty or | |
18397 | non-existent property value. | |
18398 | (org-export-as-html): Join unsorted lists when they directly | |
18399 | follow each other. Such lists may be created by headlines that | |
18400 | are converted to lists. | |
18401 | (org-nofm-to-completion): New function. | |
18402 | (org-export-as-html): Use :html-extension instead of | |
18403 | org-export-html-extension. | |
18404 | (org-store-link): Support for links from `rmail-summary-mode'. | |
18405 | (org-columns-new, org-complete, org-set-property): Set the | |
18406 | `include-columns' argument in the call to | |
18407 | `org-buffer-property-keys'. | |
18408 | (org-buffer-property-keys): New argument `include-columns', to | |
18409 | include properties expected by any of the COLUMNS formats in the | |
18410 | current buffer. | |
18411 | (org-cleaned-string-for-export): Get rid of drawers first, so that | |
18412 | they will be removed also in the text before the first headline. | |
18413 | (org-clock-report): Show the clocktable when found. | |
18414 | (org-refile): Fix positioning bug when `org-reverse-note-order' is nil. | |
18415 | (org-version): With prefix argument, insert `org-version' at point. | |
18416 | (org-agenda-goto): Recenter the window after finding the target | |
18417 | location, to make sure the correct position will be displayed. | |
18418 | (org-agenda-get-deadlines): Don't scale priority with the warning | |
18419 | period. | |
18420 | (org-insert-heading): Don't break line in the middle of the line. | |
18421 | (org-agenda-get-deadlines): Allow `org-deadline-warning-days' to | |
18422 | be 0. | |
18423 | (org-update-checkbox-count): Revamp to deal with hierarchical | |
18424 | checkboxes. This was a patch from Miguel A. Figueroa-Villanueva. | |
18425 | (org-remove-timestamp-with-keyword): New function. | |
18426 | (org-schedule, org-deadline): | |
18427 | Use `org-remove-timestamp-with-keyword' to make sure all such time | |
18428 | stamps are removed. | |
18429 | (org-mode): Support for `align'. | |
18430 | (org-agenda-get-deadlines): Make sure priorities increase as the | |
18431 | due date approaches and is passed. | |
18432 | (org-remember-apply-template): Fix problem with tags that | |
18433 | contain "_" or "@". | |
18434 | (org-make-link-regexps): Improve the regular expression for plain links. | |
18435 | (org-agenda-get-closed): List each clocking entry. | |
18436 | (org-set-tags): Only tabify before tags if indent-tabs-mode is t. | |
18437 | (org-special-ctrl-k): New option. | |
18438 | (org-kill-line): New function. | |
18439 | (org-archive-all-done): Fix incorrect number of stars in regexp. | |
18440 | (org-refile-get-location): New function. | |
18441 | (org-refile-goto-last-stored): New function. | |
18442 | (org-global-tags-completion-table): Add the value of org-tag-alist | |
18443 | in each buffer, to make sure that also unused tags will be | |
18444 | available for completion. | |
18445 | (org-columns-edit-value) | |
18446 | (org-columns-next-allowed-value): Only update if not in agenda. | |
18447 | (org-clocktable-steps): New function. | |
18448 | (org-dblock-write:clocktable): Call `org-clocktable-steps'. | |
18449 | (org-archive-subtree): Add the outline tree context as a property. | |
18450 | (org-closest-date): New optional argument `prefer'. | |
18451 | (org-goto-auto-isearch): New option. | |
18452 | (org-goto-map, org-get-location): Implement auto-isearch. | |
18453 | (org-goto-local-auto-isearch-map): New variable. | |
18454 | (org-goto-local-search-forward-headings) | |
18455 | (org-goto-local-auto-isearch): New functions. | |
18456 | ||
18457 | 2008-03-13 Philip Jackson <emacs@shellarchive.co.uk> | |
18458 | ||
18459 | * textmodes/org-irc.el: New file. | |
18460 | ||
18461 | 2008-03-13 John Wiegley <johnw@gnu.org> | |
18462 | ||
18463 | * textmodes/org-mac-message.el: New file. | |
18464 | ||
18465 | 2008-03-13 Dan Nicolaescu <dann@ics.uci.edu> | |
18466 | ||
18467 | * font-lock.el (font-lock-comment-face): Set the foreground for | |
18468 | the light background 8 colors case. | |
18469 | ||
18470 | 2008-03-13 Glenn Morris <rgm@gnu.org> | |
18471 | ||
18472 | * font-lock.el (lisp-font-lock-keywords-1): Support wider range of | |
18473 | generate-autoload-cookie patterns. | |
18474 | ||
18475 | * startup.el (command-line-1): Rename -scriptload to -internal-script. | |
18476 | ||
18477 | * Makefile.in ($(lisp)/loaddefs.el): Remove this target. | |
18478 | (MH_E_SRC): Remove variable. | |
18479 | ($(lisp)/mh-e/mh-loaddefs.el): Remove $MH_E_SRC dependency. | |
18480 | Simplify file header. Use $@ for generated-autoload-file. | |
18481 | ||
18482 | * Makefile.in (LOADDEFS): New variable. | |
18483 | (AUTOGENEL): Use $LOADDEFS. | |
18484 | (autoloads): Remove $(lisp)/loaddefs.el dependency; add $LOADDEFS. | |
18485 | ($(lisp)/calendar/cal-loaddefs.el, $(lisp)/calendar/diary-loaddefs.el) | |
18486 | ($(lisp)/calendar/hol-loaddefs.el): New targets. | |
18487 | * calendar/cal-bahai.el, calendar/cal-china.el, calendar/cal-coptic.el: | |
18488 | * calendar/cal-french.el, calendar/cal-hebrew.el, calendar/cal-html.el: | |
18489 | * calendar/cal-islam.el, calendar/cal-iso.el, calendar/cal-julian.el: | |
18490 | * calendar/cal-mayan.el, calendar/cal-move.el, calendar/cal-persia.el: | |
18491 | * calendar/cal-tex.el, calendar/cal-x.el, calendar/diary-lib.el: | |
18492 | * calendar/holidays.el, calendar/lunar.el, calendar/solar.el: | |
18493 | Add calendar-specific autoload cookies, and remove any setting of | |
18494 | generated-autoload-file. | |
18495 | * calendar/calendar.el: Remove explicit autoloads now in cal-loaddefs. | |
18496 | * calendar/diary-lib.el: Replace explicit autoloads with reading of | |
18497 | diary-loaddefs. | |
18498 | * calendar/holidays.el: Replace explicit autoloads with reading of | |
18499 | hol-loaddefs. | |
18500 | ||
18501 | * calendar/calendar.el (cal-hebrew-yahrzeit-buffer): New constant. | |
18502 | (calendar-buffer-list): Simplify. | |
18503 | (generate-calendar-window): Use calendar-mark-holidays rather than | |
18504 | obsolete alias. | |
18505 | ||
18506 | * calendar/cal-hebrew.el (list-yahrzeit-dates): | |
18507 | Use cal-hebrew-yahrzeit-buffer. | |
18508 | ||
18509 | * calendar/cal-x.el (calendar-only-one-frame-setup) | |
18510 | (calendar-two-frame-setup): Doc fixes. | |
18511 | (special-display-buffer-names): Use cal-hebrew-yahrzeit-buffer. | |
18512 | ||
18513 | * calendar/appt.el (appt-mode-string): Mark as risky. | |
18514 | (appt-check): Apply mode-line-emphasis face to appt-mode-string. | |
18515 | ||
18516 | * calendar/cal-html.el (diary-list-entries): | |
18517 | * calendar/cal-tex.el (calendar-holiday-list, diary-list-entries) | |
18518 | (calendar-iso-from-absolute): Fix autoloads. | |
18519 | ||
18520 | * calendar/cal-iso.el (calendar-absolute-from-iso) | |
18521 | (calendar-iso-read-args): Simplify. | |
18522 | (calendar-iso-date-string, calendar-iso-read-args) | |
18523 | (calendar-goto-iso-date, calendar-goto-iso-week): Doc fixes. | |
18524 | ||
18525 | * calendar/cal-julian.el (calendar-julian-from-absolute): Use zerop. | |
18526 | (displayed-month, displayed-year): Move declarations where needed. | |
18527 | (calendar-print-astro-day-number): Doc fix. | |
18528 | ||
18529 | * calendar/cal-persia.el (persian-calendar-month-name-array) | |
18530 | (persian-calendar-epoch, calendar-persian-date-string): Doc fixes. | |
18531 | (persian-prompt-for-date): Remove local variable `today'. | |
18532 | ||
18533 | * calendar/diary-lib.el (mark-calendar-month): Use zerop. | |
18534 | ||
18535 | * calendar/solar.el (solar-moment, solar-exact-local-noon) | |
18536 | (solar-sunrise-sunset, solar-sunrise-sunset-string) | |
18537 | (solar-ephemeris-time, solar-date-next-longitude, solar-sidereal-time) | |
18538 | (diary-sabbath-candles, solar-equinoxes/solstices) | |
18539 | (solar-equinoxes-solstices): Use cadr, cdar, nth, zerop. | |
18540 | (solar-time-equation, solar-date-to-et): Simplify. | |
18541 | ||
18542 | * mail/supercite.el: Remove the `function' in `(function (lambda'. | |
18543 | Replace `(car (cdr' with cadr'. | |
18544 | ||
18545 | * progmodes/f90.el (f90-font-lock-n): New function. | |
18546 | (f90-font-lock-1, f90-font-lock-2, f90-font-lock-3, f90-font-lock-4): | |
18547 | Use f90-font-lock-n. | |
18548 | (f90-indent-region, f90-indent-subprogram, f90-match-end): Use cadr. | |
18549 | ||
18550 | * progmodes/f90.el (f90-mode-abbrev-table): | |
18551 | * progmodes/fortran.el (fortran-mode-abbrev-table): | |
18552 | Use newer form of define-abbrev, where supported. No need to bind | |
18553 | abbrevs-changed for system abbrevs. | |
18554 | ||
18555 | 2008-03-13 Stefan Monnier <monnier@iro.umontreal.ca> | |
18556 | ||
18557 | * term/xterm.el (terminal-init-xterm): delete-frame-hook -> | |
18558 | delete-frame-functions. | |
18559 | ||
18560 | * bookmark.el (Info-current-node): Remove. | |
18561 | ||
18562 | 2008-03-12 Juri Linkov <juri@jurta.org> | |
18563 | ||
18564 | * help.el (describe-project): Remove defaliases for view-todo and | |
18565 | describe-project that are now unnecessary after the merge from | |
18566 | emacs--rel--22 that added define-obsolete-function-alias. | |
18567 | ||
18568 | * startup.el (inhibit-startup-screen): Revert incomplete | |
18569 | 2008-03-10 merge from emacs--rel--22 that partly reverted | |
18570 | 2008-02-28 change that added initial message to *scratch* buffer | |
18571 | regardless of the value of `inhibit-startup-screen'. | |
18572 | Now keep this change in the trunk, but not in the 22 branch. | |
18573 | ||
18574 | 2008-03-12 Stefan Monnier <monnier@iro.umontreal.ca> | |
18575 | ||
18576 | * international/quail.el (quail-setup-completion-buf, quail-help): | |
18577 | * mail/binhex.el (binhex-decode-region-internal): | |
18578 | * mail/uudecode.el (uudecode-decode-region-internal): | |
18579 | * net/dns.el (dns-read-string-name, dns-read, dns-read-type, query-dns): | |
18580 | * sha1.el (sha1-string-external): Use set-buffer-multibyte rather than | |
18581 | setting default-enable-multibyte-characters. | |
18582 | ||
18583 | * emulation/viper-util.el (viper-file-remote-p): Remove, unused. | |
18584 | ||
18585 | * comint.el: Fix up indentation and comment style. Remove `function'. | |
18586 | ||
18587 | * international/mule-cmds.el (reset-language-environment) | |
18588 | (set-language-environment-nonascii-translation): | |
18589 | Don't set-unibyte-charset. | |
18590 | ||
18591 | * doc-view.el: Remove all cb-args, use closures instead. | |
18592 | (doc-view-sentinel): Merge doc-view-dvi->pdf-sentinel, | |
18593 | doc-view-ps->pdf-sentinel, and doc-view-pdf->txt-sentinel (which was | |
18594 | doing an incorrect check). Update all callers to use the new name. | |
18595 | (doc-view-doc->txt): Add missing `txt' argument. | |
18596 | ||
18597 | 2008-03-12 Tassilo Horn <tassilo@member.fsf.org> | |
18598 | ||
18599 | * doc-view.el (doc-view-current-cache-dir): Set buffer used for | |
18600 | md5 sum calculation to single-byte. | |
18601 | ||
18602 | 2008-03-12 Stefan Monnier <monnier@iro.umontreal.ca> | |
18603 | ||
18604 | * doc-view.el (doc-view-new-window-function): Add assertion. | |
18605 | (doc-view-doc-type): New var. | |
18606 | (doc-view-convert-current-doc, doc-view-doc->txt): Use it. | |
18607 | (doc-view-intersection): New fun. | |
18608 | (doc-view-mode): Use it to set the new var. | |
18609 | ||
18610 | 2008-03-12 Tassilo Horn <tassilo@member.fsf.org> | |
18611 | ||
18612 | * doc-view.el (doc-view-doc->txt, doc-view-open-text) | |
18613 | (doc-view-already-converted-p): New functions. | |
18614 | (doc-view-clear-cache): Don't recreate doc-view-cache-directory. | |
18615 | (doc-view-mode-map): Bind C-c C-t to doc-view-open-text. | |
18616 | (doc-view-dvi->pdf-sentinel, doc-view-dvi->pdf) | |
18617 | (doc-view-pdf->txt-sentinel, doc-view-pdf->txt) | |
18618 | (doc-view-ps->pdf-sentinel, doc-view-ps->pdf) | |
18619 | (doc-view-convert-current-doc): Don't hardwire the functions the | |
18620 | sentinels call. Now they're provided by two args CALLBACK and | |
18621 | CB-ARGS to the functions. | |
18622 | (doc-view-search): Use doc-view-doc->txt. | |
18623 | (doc-view-initiate-display): Use doc-view-already-converted-p. | |
18624 | Mention new binding C-c C-t if doc-view-mode doesn't work. | |
18625 | ||
18626 | 2008-03-12 Dan Nicolaescu <dann@ics.uci.edu> | |
18627 | ||
18628 | * diff-mode.el (diff-refine-change): Adjust colors to be more visible. | |
18629 | ||
18630 | 2008-03-12 Stefan Monnier <monnier@iro.umontreal.ca> | |
18631 | ||
18632 | * textmodes/sgml-mode.el (sgml-font-lock-syntactic-keywords): | |
18633 | Mark " outside of tags as punctuation. | |
18634 | ||
18635 | 2008-03-11 Stefan Monnier <monnier@iro.umontreal.ca> | |
18636 | ||
18637 | * menu-bar.el (minibuffer-local-map): Use the same command for the | |
18638 | "Quit" menu as used for the C-g key, so the shortcut displayed is C-g. | |
18639 | Prefer RET over C-j for exit-minibuffer. | |
18640 | ||
18641 | * files.el (display-buffer-other-frame): Eliminate problematic code. | |
18642 | ||
18643 | * menu-bar.el (menu-bar-update-buffers-maxbuf): Remove. | |
18644 | (menu-bar-select-buffer): Remove. | |
18645 | (menu-bar-select-frame): Make non-interactive and take a frame arg. | |
18646 | (menu-bar-update-buffers): Don't use buffer-names or frame names as events. | |
18647 | ||
18648 | 2008-03-11 Juanma Barranquero <lekktu@gmail.com> | |
18649 | ||
18650 | * icomplete.el (icomplete-completions): Remove obsolete code. | |
18651 | ||
18652 | * net/net-utils.el (iwconfig-program-options): Doc fix. | |
18653 | (net-utils-run-program, run-network-program): Define as functions. | |
18654 | ||
18655 | 2008-03-11 Dan Nicolaescu <dann@ics.uci.edu> | |
18656 | ||
18657 | * emacs-lisp/lisp-mode.el (lisp-interaction-mode-map): Fix typo. | |
18658 | ||
18659 | 2008-03-11 Glenn Morris <rgm@gnu.org> | |
18660 | ||
18661 | * faces.el (mode-line-emphasis): New face. | |
18662 | * vc.el (vc-set-mode-line-busy-indicator): Use mode-line-emphasis face. | |
18663 | ||
18664 | * calendar/calendar.el (top-level): Load cal-loaddefs when compiling. | |
18665 | ||
18666 | 2008-03-11 Chong Yidong <cyd@stupidchicken.com> | |
18667 | ||
18668 | * simple.el (set-mark-command): Doc fix. | |
18669 | ||
18670 | 2008-03-10 Stefan Monnier <monnier@iro.umontreal.ca> | |
18671 | ||
18672 | * Makefile.in (bootstrap-prepare): Don't chmod cal-loaddefs.el. | |
18673 | ||
18674 | * emacs-lisp/autoload.el (autoload-find-destination): | |
18675 | Don't force raw-text. | |
18676 | ||
18677 | * calendar/calendar.el ("cal-loaddefs"): Load, rather than require. | |
18678 | * calendar/cal-loaddefs.el: Don't version control. | |
18679 | ||
18680 | 2008-03-10 Dan Nicolaescu <dann@ics.uci.edu> | |
18681 | ||
18682 | * progmodes/make-mode.el (makefile-mode-abbrev-table): Add menu | |
18683 | entries and add :help to the existing ones. | |
18684 | ||
18685 | 2008-03-10 Glenn Morris <rgm@gnu.org> | |
18686 | ||
18687 | * calendar/cal-hebrew.el (date, entry, number): Move declarations | |
18688 | to where they are needed. | |
18689 | ||
18690 | * calendar/calendar.el (diary-file, hebrew-holidays-1) | |
18691 | (hebrew-holidays-2, hebrew-holidays-3, hebrew-holidays-4) | |
18692 | (calendar, calendar-basic-setup, calendar-mode-map, calendar-set-mark) | |
18693 | (calendar-version): Doc fixes. | |
18694 | (calendar-absolute-from-gregorian): Use zerop. | |
18695 | (calendar-mode-line-format): Make it a defcustom. | |
18696 | ||
18697 | * calendar/diary-lib.el (diary-face-attrs): Fix custom :type. | |
18698 | (diary-face-attrs, diary-glob-file-regexp-prefix, diary-unknown-time) | |
18699 | (diary-pull-attrs, diary-header-line-flag, diary-list-entries) | |
18700 | (diary-unhide-everything, include-other-diary-files, diary-goto-entry) | |
18701 | (mark-included-diary-files, mark-calendar-days-named) | |
18702 | (mark-calendar-date-pattern, mark-calendar-month, diary-entry-compare) | |
18703 | (diary-remind, insert-diary-entry, insert-weekly-diary-entry) | |
18704 | (insert-monthly-diary-entry, insert-yearly-diary-entry) | |
18705 | (insert-anniversary-diary-entry, insert-block-diary-entry) | |
18706 | (insert-cyclic-diary-entry, fancy-diary-font-lock-keywords) | |
18707 | (diary-font-lock-sexps): Doc fixes. | |
18708 | (diary-remind-message, mark-calendar-month): Use zerop. | |
18709 | (diary-attrtype-convert, diary-pull-attrs): Simplify. | |
18710 | (diary-list-entries): Revert let to let* (previous change). | |
18711 | ||
18712 | * Makefile.in (bootstrap-prepare): Also chmod cal-loaddefs.el. | |
18713 | ||
18714 | 2008-03-10 Kim F. Storm <storm@cua.dk> | |
18715 | ||
18716 | * help.el (view-emacs-todo, describe-gnu-project): Define obsolete | |
18717 | function aliases for the old names. | |
18718 | ||
18719 | 2008-03-10 Juanma Barranquero <lekktu@gmail.com> | |
18720 | ||
18721 | * iswitchb.el (iswitchb-use-faces): Doc fix. | |
18722 | (iswitchb-buffer-ignore, iswitchb-read-buffer): | |
18723 | Fix typos in docstrings. | |
18724 | ||
18725 | 2008-03-10 Dan Nicolaescu <dann@ics.uci.edu> | |
18726 | ||
18727 | * progmodes/verilog-mode.el (verilog-highlight-grouping-keywords): | |
18728 | Fix typo. | |
18729 | (verilog-type-font-keywords): Reindent. | |
18730 | ||
18731 | 2008-03-10 Michael McNamara <mac@mail.brushroad.com> | |
18732 | ||
18733 | * progmodes/verilog-mode.el (verilog-font-grouping-keywords): | |
18734 | Fix bug in the grouping-keyword regular expression. | |
18735 | (verilog-font-lock-keywords): Allow users to toggle special | |
18736 | highlight of grouping-keywords. | |
18737 | (verilog-highlight-grouping-keywords): The toggle for special | |
18738 | highlighting of grouping keywords. | |
18739 | ||
18740 | 2008-03-10 Juri Linkov <juri@jurta.org> | |
18741 | ||
18742 | * startup.el: Revert 2008-02-28 change that adds initial message | |
18743 | to *scratch* buffer regardless of the value of | |
18744 | `inhibit-startup-screen'. | |
18745 | ||
18746 | 2008-03-10 Dan Nicolaescu <dann@ics.uci.edu> | |
18747 | ||
18748 | * textmodes/css-mode.el (css-indent-offset, css-electric-keys): | |
18749 | * textmodes/bibtex-style.el (bibtex-style-indent-basic): | |
18750 | * progmodes/verilog-mode.el (verilog-mode): | |
18751 | * net/socks.el (socks): | |
18752 | * vc-mtn.el (vc-mtn-mode-line-rewrite): Add :version. | |
18753 | ||
18754 | 2008-03-09 Stefan Monnier <monnier@iro.umontreal.ca> | |
18755 | ||
18756 | * doc-view.el (bookmark-buffer-file-name, bookmark-prop-get): Declare. | |
18757 | (doc-view-bookmark-make-record): Use them. | |
18758 | (doc-view-bookmark-jump): Use them. Fix find-file ->find-file-noselect. | |
18759 | (bookmark-get-filename, bookmark-get-bookmark-record): Remove. | |
18760 | ||
18761 | * bookmark.el (bookmark-make-record-function): Change expected return | |
18762 | value to include a suggested bookmark name. | |
18763 | (bookmark-make): Split into bookmark-make-record and bookmark-store. | |
18764 | Fix reversed `overwrite' semantics. | |
18765 | (bookmark-set): Call bookmark-make-record before prompting the user. | |
18766 | Then pass the result to bookmark-store later on. | |
18767 | (bookmark-make-name-function): Remove. | |
18768 | (bookmark-buffer-file-name, bookmark-buffer-name): Don't use it. | |
18769 | * info.el (bookmark-make-name-function): Remove. | |
18770 | (Info-mode): Don't set it. | |
18771 | (Info-bookmark-make-name): Remove. | |
18772 | (Info-bookmark-make-record): Use Info-current-node as suggested default | |
18773 | bookmark name. | |
18774 | ||
18775 | * bookmark.el (bookmark-set): Make bookmark before reading annotations. | |
18776 | I.e. use bookmark-edit-annotation rather than bookmark-read-annotation. | |
18777 | (bookmark-read-annotation-mode-map, bookmark-annotation-paragraph) | |
18778 | (bookmark-annotation-buffer, bookmark-annotation-file) | |
18779 | (bookmark-annotation-point, bookmark-send-annotation) | |
18780 | (bookmark-read-annotation-mode, bookmark-read-annotation): Remove. | |
18781 | (bookmark-edit-annotation-text-func): Rename from | |
18782 | bookmark-read-annotation-text-func. Keep old name as an obsolete alias. | |
18783 | (bookmark-edit-annotation-mode-map): Move initialization into | |
18784 | declaration. | |
18785 | ||
18786 | * bookmark.el: Remove spurious * in docstrings. | |
18787 | (bookmark-minibuffer-read-name-map): New var. | |
18788 | (bookmark-set): Use it. Also pass the default value as it should. | |
18789 | (bookmark-send-edited-annotation): Take no chances with text properties. | |
18790 | (bookmark-insert-current-bookmark): Inline bookmark-insert-buffer-name. | |
18791 | (bookmark-insert-buffer-name): Remove. | |
18792 | (bookmark-buffer-file-name): Signal an error rather than returning nil. | |
18793 | ||
18794 | 2008-03-09 Thomas Hühn <xf27@arcor.de> (tiny change) | |
18795 | ||
18796 | * tutorial.el (tutorial--default-keys): Update `C-l' binding. | |
18797 | ||
18798 | 2008-03-09 Dan Nicolaescu <dann@ics.uci.edu> | |
18799 | ||
18800 | * diff-mode.el (diff-mode-menu): Add :help. | |
18801 | ||
18802 | 2008-03-09 Glenn Morris <rgm@gnu.org> | |
18803 | ||
18804 | * calendar/calendar.el (general-holidays, oriental-holidays) | |
18805 | (local-holidays, other-holidays, hebrew-holidays-1, hebrew-holidays-2) | |
18806 | (hebrew-holidays-3, hebrew-holidays-4, hebrew-holidays) | |
18807 | (christian-holidays, islamic-holidays, bahai-holidays, solar-holidays) | |
18808 | (calendar-holidays): Restore autoload cookies, because people are | |
18809 | used to using these variables without loading calendar.el. | |
18810 | ||
18811 | * calendar/cal-islam.el (diary-islamic-date): Move to end. | |
18812 | (date, number): Declare where needed. | |
18813 | ||
18814 | * calendar/diary-lib.el (nongregorian-diary-marking-hook) | |
18815 | (list-sexp-diary-entries): Doc fixes. | |
18816 | (diary-list-entries): Doc fix. Remove free variable `entry'. | |
18817 | (fancy-diary-display): Use dolist, bobp. Remove free variable `entry'. | |
18818 | Simplify setting of `date-holiday-list'. | |
18819 | (mark-diary-entries): Remove free variable `entry'. | |
18820 | (diary-list-entries, include-other-diary-files, fancy-diary-display): | |
18821 | Use let where let* is not needed. | |
18822 | ||
18823 | 2008-03-09 Stefan Monnier <monnier@iro.umontreal.ca> | |
18824 | ||
18825 | * bookmark.el (bookmark-make): Don't pass the `annotation' to the | |
18826 | make-record function, instead paste it in afterwards. | |
18827 | (bookmark-make-record-for-text-file): | |
18828 | * doc-view.el (doc-view-bookmark-make-record): | |
18829 | * info.el (Info-bookmark-make-record): Don't mess with annotations. | |
18830 | ||
18831 | 2008-03-08 Glenn Morris <rgm@gnu.org> | |
18832 | ||
18833 | * calendar/diary-lib.el (entry): Declare for compiler part-way through. | |
18834 | ||
18835 | 2008-03-08 Stefan Monnier <monnier@iro.umontreal.ca> | |
18836 | ||
18837 | * calendar/diary-lib.el (diary-list-entries) | |
18838 | (include-other-diary-files, mark-diary-entries) | |
18839 | (mark-sexp-diary-entries, mark-included-diary-files) | |
18840 | (diary-entry-time, list-sexp-diary-entries): Remove the special | |
18841 | handling of ^M that dates back to the use of selective-display. | |
18842 | (simple-diary-display): Obey setting of pop-up-frames. | |
18843 | (body, entry): Remove unnecessary declarations. | |
18844 | ||
18845 | * bookmark.el (bookmark-prop-get, bookmark-prop-set): New funs. | |
18846 | (bookmark-get-annotation, bookmark-set-annotation) | |
18847 | (bookmark-get-filename, bookmark-set-filename, bookmark-get-position) | |
18848 | (bookmark-set-position, bookmark-get-front-context-string) | |
18849 | (bookmark-set-front-context-string, bookmark-get-rear-context-string) | |
18850 | (bookmark-set-rear-context-string, bookmark-get-handler): Use them. | |
18851 | * info.el (Info-bookmark-make-record): Don't bother recording point. | |
18852 | (bookmark-get-filename, bookmark-get-front-context-string) | |
18853 | (bookmark-get-rear-context-string, bookmark-get-position): | |
18854 | Don't declare any more. | |
18855 | (bookmark-get-info-node): Remove. | |
18856 | (bookmark-prop-get): Declare. | |
18857 | (Info-bookmark-jump): Use it. | |
18858 | ||
18859 | 2008-03-08 Johan Bockgård <bojohan@gnu.org> | |
18860 | ||
18861 | * subr.el (while-no-input): Don't splice BODY directly into the | |
18862 | `or' form. | |
18863 | ||
18864 | 2008-03-08 Dan Nicolaescu <dann@ics.uci.edu> | |
18865 | ||
18866 | * diff-mode.el (diff-ignore-whitespace-hunk): | |
18867 | Bind inhibit-read-only before trying to change the buffer. | |
18868 | ||
18869 | 2008-03-08 Glenn Morris <rgm@gnu.org> | |
18870 | ||
18871 | * calendar/appt.el (appt): Move custom group here from calendar.el. | |
18872 | (appt-disp-window, appt-convert-time): Doc fixes. | |
18873 | ||
18874 | * calendar/cal-bahai.el (calendar-bahai-prompt-for-date) | |
18875 | (calendar-bahai-mark-date-pattern): | |
18876 | * calendar/cal-hebrew.el (mark-hebrew-calendar-date-pattern): | |
18877 | * calendar/cal-islam.el (mark-islamic-calendar-date-pattern): | |
18878 | * calendar/cal-julian.el (calendar-absolute-from-julian): | |
18879 | * calendar/cal-persia.el (persian-prompt-for-date): Use zerop. | |
18880 | ||
18881 | * calendar/cal-china.el (chinese-calendar): Move custom group here from | |
18882 | calendar.el. | |
18883 | (chinese-calendar-celestial-stem, chinese-calendar-terrestrial-branch): | |
18884 | Make constants. | |
18885 | (chinese-calendar-time-zone, chinese-calendar-daylight-time-offset) | |
18886 | (chinese-calendar-daylight-savings-starts) | |
18887 | (chinese-calendar-daylight-savings-ends) | |
18888 | (chinese-calendar-daylight-savings-starts-time) | |
18889 | (chinese-calendar-daylight-savings-ends-time) | |
18890 | (chinese-zodiac-sign-on-or-after, chinese-new-moon-on-or-after): | |
18891 | Doc fixes. | |
18892 | ||
18893 | * calendar/cal-coptic.el (coptic-name): Add doc string. | |
18894 | ||
18895 | * calendar/cal-french.el (french-calendar-month-name-array) | |
18896 | (french-calendar-day-name-array, french-calendar-special-days-array): | |
18897 | Add doc strings. | |
18898 | ||
18899 | * calendar/cal-bahai.el (diary-bahai-mark-entries) | |
18900 | (diary-bahai-insert-entry, diary-bahai-insert-monthly-entry) | |
18901 | (diary-bahai-insert-yearly-entry): | |
18902 | * calendar/cal-dst.el (calendar-next-time-zone-transition) | |
18903 | (calendar-time-zone): | |
18904 | * calendar/cal-hebrew.el (hebrew-calendar-leap-year-p) | |
18905 | (hebrew-calendar-elapsed-days, hebrew-calendar-long-heshvan-p) | |
18906 | (hebrew-calendar-short-kislev-p, mark-hebrew-diary-entries) | |
18907 | (insert-hebrew-diary-entry, insert-monthly-hebrew-diary-entry) | |
18908 | (insert-yearly-hebrew-diary-entry, diary-yahrzeit): | |
18909 | * calendar/cal-islam.el (islamic-calendar-leap-year-p) | |
18910 | (list-islamic-diary-entries, mark-islamic-diary-entries) | |
18911 | (insert-islamic-diary-entry, insert-monthly-islamic-diary-entry) | |
18912 | (insert-yearly-islamic-diary-entry): | |
18913 | * calendar/cal-iso.el (calendar-iso-read-args): | |
18914 | * calendar/cal-mayan.el (calendar-string-to-mayan-long-count) | |
18915 | (calendar-mayan-haab-to-string, calendar-mayan-tzolkin-to-string) | |
18916 | (calendar-read-mayan-haab-date, calendar-read-mayan-tzolkin-date) | |
18917 | (calendar-next-calendar-round-date) | |
18918 | (calendar-mayan-long-count-common-era): | |
18919 | * calendar/cal-menu.el (cal-menu-holiday-window-suffix) | |
18920 | (cal-menu-x-popup-menu, calendar-mouse-holidays) | |
18921 | (calendar-mouse-view-diary-entries, calendar-mouse-print-dates) | |
18922 | (calendar-mouse-goto-date): | |
18923 | * calendar/cal-move.el (calendar-scroll-left, calendar-scroll-right): | |
18924 | * calendar/holidays.el (holidays): | |
18925 | * calendar/lunar.el (phases-of-moon, lunar-new-moon-on-or-after): | |
18926 | * calendar/time-date.el (date-to-time, time-subtract, time-add) | |
18927 | (safe-date-to-time): Doc fixes. | |
18928 | ||
18929 | * calendar/cal-persia.el (persian-calendar-month-name-array) | |
18930 | (persian-calendar-epoch): Make constants. | |
18931 | ||
18932 | * calendar/cal-tex.el (calendar-tex): Move custom group here from | |
18933 | calendar.el. | |
18934 | ||
18935 | * calendar/cal-x.el (diary-frame-parameters) | |
18936 | (calendar-frame-parameters, calendar-and-diary-frame-parameters) | |
18937 | (calendar-after-frame-setup-hooks): Make defcustoms. | |
18938 | (calendar-one-frame-setup, calendar-only-one-frame-setup) | |
18939 | (calendar-two-frame-setup): Doc fix. | |
18940 | ||
18941 | * calendar/cal-loaddefs.el: New file. | |
18942 | ||
18943 | * calendar/cal-bahai.el, calendar/cal-china.el, calendar/cal-coptic.el: | |
18944 | * calendar/cal-french.el, calendar/cal-html.el: | |
18945 | * calendar/cal-islam.el, calendar/cal-iso.el, calendar/cal-julian.el: | |
18946 | * calendar/cal-mayan.el, calendar/cal-move.el, calendar/cal-persia.el: | |
18947 | * calendar/cal-tex.el, calendar/cal-x.el: | |
18948 | Unquote lambda functions. Add autoload cookies to functions formerly | |
18949 | autoloaded in calendar.el. Set `generated-autoload-file' to | |
18950 | "cal-loaddefs.el". | |
18951 | ||
18952 | * calendar/calendar.el: Move many autoloads to separate file, | |
18953 | cal-loaddefs.el. Move defcustoms to start and re-order. | |
18954 | (calendar-month-name-array, calendar-starred-day): No need to | |
18955 | declare for compiler. | |
18956 | (cal-loaddefs): Require it. | |
18957 | (appt, chinese-calendar, calendar-tex): Move custom groups to the | |
18958 | appropriate file. | |
18959 | (diary-entry-marker, calendar-today-marker, calendar-holiday-marker): | |
18960 | Reverse logic. | |
18961 | (diary-face, diary-file-name-prefix-function, diary-include-string) | |
18962 | (diary-glob-file-regexp-prefix, diary-face-attrs) | |
18963 | (diary-file-name-prefix, sexp-diary-entry-symbol) | |
18964 | (print-diary-entries-hook, list-diary-entries-hook) | |
18965 | (nongregorian-diary-listing-hook, mark-diary-entries-hook) | |
18966 | (nongregorian-diary-marking-hook, diary-list-include-blanks): | |
18967 | Move to diary-lib. | |
18968 | (all-christian-calendar-holidays, all-islamic-calendar-holidays) | |
18969 | (all-bahai-calendar-holidays): Doc fix. | |
18970 | (calendar-insert-indented): Doc fix. Use when rather than if. | |
18971 | (exit-calendar): Use mapc rather than mapcar. | |
18972 | (calendar-cursor-to-date): Use zerop. | |
18973 | (calendar-mark-ring): Add doc-string. | |
18974 | (calendar-starred-day): Defvar it. | |
18975 | (calendar-mode): Make calendar-starred-day local. | |
18976 | (calendar-star-date): No need to make calendar-starred-day local. | |
18977 | ||
18978 | * calendar/diary-lib.el: Move defcustoms to start. | |
18979 | (diary-include-string, diary-list-include-blanks) | |
18980 | (diary-glob-file-regexp-prefix, diary-face, diary-face-attrs) | |
18981 | (diary-file-name-prefix, diary-file-name-prefix-function) | |
18982 | (sexp-diary-entry-symbol, list-diary-entries-hook) | |
18983 | (mark-diary-entries-hook, nongregorian-diary-listing-hook) | |
18984 | (nongregorian-diary-marking-hook, print-diary-entries-hook): | |
18985 | Move here from calendar.el. | |
18986 | (diary-file-name-prefix-function): Use 'identity. | |
18987 | (diary-face): Make it a defcustom, and mark as obsolete. | |
18988 | (top-level): No need to require cal-hebrew, cal-islam when compiling. | |
18989 | (calendar-hebrew-month-name-array-leap-year) | |
18990 | (calendar-islamic-month-name-array, calendar-bahai-month-name-array): | |
18991 | Define for compiler. | |
18992 | (diary-font-lock-keywords): Use format rather than concat. | |
18993 | Add bahai-diary-entry-symbol. | |
18994 | ||
18995 | * calendar/cal-hebrew.el, calendar/holidays.el, calendar/lunar.el: | |
18996 | * calendar/solar.el: Unquote lambda functions. | |
18997 | ||
18998 | * calendar/solar.el (calendar-location-name, calendar-latitude) | |
18999 | (calendar-longitude, solar-setup, solar-sin-degrees) | |
19000 | (solar-cosine-degrees, solar-tangent-degrees, solar-xy-to-quadrant) | |
19001 | (solar-degrees-to-quadrant, solar-atn2, solar-arccos) | |
19002 | (solar-sunrise-and-sunset, solar-moment, solar-daylight) | |
19003 | (solar-exact-local-noon, solar-julian-ut-centuries) | |
19004 | (solar-ephemeris-time, solar-date-next-longitude) | |
19005 | (solar-horizontal-coordinates, solar-equatorial-coordinates) | |
19006 | (solar-ecliptic-coordinates, solar-data-list, solar-longitude) | |
19007 | (solar-ephemeris-correction, solar-sidereal-time, solar-date-to-et) | |
19008 | (sunrise-sunset, solar-seasons-data, solar-equinoxes/solstices): | |
19009 | Doc fixes. | |
19010 | (solar-horizontal-coordinates, solar-equatorial-coordinates) | |
19011 | (solar-ecliptic-coordinates): Rename argument `for-sunrise-sunset'. | |
19012 | (solar-ecliptic-coordinates): Use unless. | |
19013 | (calendar-sunrise-sunset, diary-sunrise-sunset, diary-sabbath-candles): | |
19014 | Use or. | |
19015 | ||
19016 | * calendar/timeclock.el: Add doc-strings to all functions. | |
19017 | (timeclock-workday, timeclock-in, timeclock-completing-read): Doc fixes. | |
19018 | (timeclock-entry-list-length, timeclock-entry-list-projects) | |
19019 | (timeclock-day-list-projects, timeclock-day-list): Use dolist. | |
19020 | ||
19021 | * calendar/todo-mode.el: Remove un-needed eval-when-compile. | |
19022 | ||
19023 | * textmodes/org.el (list-diary-entries-hook): Declare for compiler. | |
19024 | (org-get-entries-from-diary): Require diary-lib. | |
19025 | ||
19026 | 2008-03-08 Juanma Barranquero <lekktu@gmail.com> | |
19027 | ||
19028 | * info.el (bookmark-make-name-function, bookmark-get-bookmark-record): | |
19029 | Pacify byte-compiler. | |
19030 | ||
19031 | 2008-03-07 Alan Mackenzie <acm@muc.de> | |
19032 | ||
19033 | * progmodes/cc-engine.el (c-in-knr-argdecl): Limit number of | |
19034 | paren/bracket pairs parsed, to solve performance problem. | |
19035 | ||
19036 | 2008-03-07 Bastien Guerry <bzg@altern.org> | |
19037 | ||
19038 | * bookmark.el (bookmark-set): Don't check for | |
19039 | `bookmark-make-name-function' since `bookmark-buffer-file-name' | |
19040 | already takes care of this. | |
19041 | (bookmark-buffer-name, bookmark-buffer-file-name): | |
19042 | Remove Info-mode specific code. | |
19043 | ||
19044 | * info.el (bookmark-get-info-node): Define this function in | |
19045 | info.el, not in bookmark.el. | |
19046 | (Info-mode): Set `bookmark-make-name-function' to | |
19047 | `Info-bookmark-make-name' locally. | |
19048 | (Info-bookmark-make-name): New function. | |
19049 | ||
19050 | * bookmark.el (bookmark-make-name-function): New variable. | |
19051 | ||
19052 | 2008-03-07 Karl Fogel <kfogel@red-bean.com> | |
19053 | ||
19054 | * bookmark.el (bookmark-set): Make `bookmark-make-record-function' | |
19055 | buffer-local, not `bookmark-make-cell-function' (the old name). | |
19056 | ||
19057 | 2008-03-07 Tassilo Horn <tassilo@member.fsf.org> | |
19058 | ||
19059 | * doc-view.el (doc-view-bookmark-make-record): | |
19060 | * image-mode.el (image-bookmark-make-record): | |
19061 | * info.el (Info-bookmark-make-record): Delete obsolete second arg. | |
19062 | ||
19063 | 2008-03-07 Jan Djärv <jan.h.d@swipnet.se> | |
19064 | ||
19065 | * vc.el (vc-status-menu-map-filter): Return orig-binding if | |
19066 | boundp 'vc-ignore-menu-filter. | |
19067 | (vc-status-tool-bar-map): Make it defvar. | |
19068 | (vc-status-mode): vc-status-tool-bar-map now variable. | |
19069 | (vc-status-toggle-mark): toggle-mark-file => vc-status-toggle-mark-file. | |
19070 | ||
19071 | 2008-03-07 Karl Fogel <kfogel@red-bean.com> | |
19072 | ||
19073 | Give a better name to part of the bookmark interface. | |
19074 | ||
19075 | This was originally a much larger change, but halfway through I | |
19076 | updated and discovered that Stefan Monnier had done the rest. | |
19077 | It looks like he anticipated the new name too, because he used | |
19078 | `the-record' instead of `the-cell' for some internal variable names. | |
19079 | ||
19080 | * bookmark.el (bookmark-make-record-function): Was | |
19081 | `bookmark-make-cell-function'. | |
19082 | (bookmark-make, bookmark-send-annotation): Update for above. | |
19083 | (bookmark-make-record-for-text-file): | |
19084 | Was `bookmark-make-cell-for-text-file'. Fix doc string re 2008-03-07T05:00:18Z!monnier@iro.umontreal.ca. | |
19085 | ||
19086 | * info.el: Adjust accordingly. | |
19087 | (Info-bookmark-make-record): Was `Info-bookmark-make-cell'. | |
19088 | ||
19089 | * image-mode.el: Adjust accordingly. | |
19090 | (image-bookmark-make-record): Was `image-bookmark-make-cell'. | |
19091 | ||
19092 | * doc-view.el: Adjust accordingly. | |
19093 | (doc-view-bookmark-make-record): Was `doc-view-bookmark-make-cell'. | |
19094 | ||
19095 | 2008-03-07 Stefan Monnier <monnier@iro.umontreal.ca> | |
19096 | ||
19097 | * bookmark.el (bookmark-map, bookmark-read-annotation-mode-map): | |
19098 | Move initialization into declaration. | |
19099 | (bookmark-get-info-node, bookmark-set-info-node): Remove. | |
19100 | (bookmark-make, bookmark-make-cell-for-text-file): Remove info-node arg. | |
19101 | (bookmark-info-current-node): Remove. | |
19102 | (bookmark-jump-noselect): Rename from bookmark-jump-internal. | |
19103 | Add relocation fallback. Set bookmark-current-bookmark. | |
19104 | (bookmark-default-handler): Rename from bookmark-jump-noselect. | |
19105 | Remove relocation fallback. Don't set bookmark-current-bookmark. | |
19106 | (bookmark-set): Let it be used even if there's no buffer-file-name | |
19107 | as long as there is a bookmark-make-cell-function. | |
19108 | * info.el (Info-bookmark-jump): Remove relocation fallback. | |
19109 | Don't set bookmark-current-bookmark. | |
19110 | ||
19111 | 2008-03-07 Glenn Morris <rgm@gnu.org> | |
19112 | ||
19113 | * calendar/appt.el (appt-issue-message) | |
19114 | (appt-message-warning-time, appt-audible, appt-visible) | |
19115 | (appt-msg-window, appt-display-mode-line, appt-display-duration) | |
19116 | (appt-display-diary): Remove autoload cookies. | |
19117 | ||
19118 | * calendar/cal-china.el, calendar/timeclock.el, calendar/todo-mode.el: | |
19119 | Remove leading `*' from defcustom doc-strings. | |
19120 | ||
19121 | * calendar/cal-dst.el (calendar-dst): New custom group. | |
19122 | (calendar-daylight-savings-starts, calendar-daylight-savings-ends) | |
19123 | (calendar-time-zone, calendar-daylight-time-offset) | |
19124 | (calendar-standard-time-zone-name, calendar-daylight-time-zone-name) | |
19125 | (calendar-daylight-savings-starts-time) | |
19126 | (calendar-daylight-savings-ends-time): Convert from defvar to defcustom. | |
19127 | (calendar-daylight-savings-starts, calendar-daylight-savings-ends): | |
19128 | Move to start. | |
19129 | ||
19130 | * calendar/cal-menu.el (holidays-in-diary-buffer): Declare for compiler. | |
19131 | ||
19132 | * calendar/calendar.el (calendar-version): Use emacs-version and | |
19133 | make it obsolete. Move to end. | |
19134 | (calendar-offset, view-diary-entries-initially) | |
19135 | (mark-diary-entries-in-calendar, calendar-remove-frame-by-deleting) | |
19136 | (view-calendar-holidays-initially, all-hebrew-calendar-holidays) | |
19137 | (all-christian-calendar-holidays, all-islamic-calendar-holidays) | |
19138 | (all-bahai-calendar-holidays, calendar-load-hook) | |
19139 | (initial-calendar-window-hook, today-visible-calendar-hook) | |
19140 | (today-invisible-calendar-hook, calendar-move-hook) | |
19141 | (diary-nonmarking-symbol, hebrew-diary-entry-symbol) | |
19142 | (islamic-diary-entry-symbol, bahai-diary-entry-symbol) | |
19143 | (diary-include-string, sexp-diary-entry-symbol) | |
19144 | (abbreviated-calendar-year, american-date-diary-pattern) | |
19145 | (european-date-diary-pattern, european-calendar-display-form) | |
19146 | (american-calendar-display-form, print-diary-entries-hook) | |
19147 | (list-diary-entries-hook, diary-hook, diary-display-hook) | |
19148 | (nongregorian-diary-listing-hook, mark-diary-entries-hook) | |
19149 | (nongregorian-diary-marking-hook, diary-list-include-blanks) | |
19150 | (holidays-in-diary-buffer, general-holidays, oriental-holidays) | |
19151 | (local-holidays, other-holidays, hebrew-holidays-1) | |
19152 | (hebrew-holidays-2, hebrew-holidays-3, hebrew-holidays-4) | |
19153 | (hebrew-holidays, christian-holidays, islamic-holidays) | |
19154 | (bahai-holidays, solar-holidays, calendar-setup) | |
19155 | (calendar-week-start-day): Remove autoload cookies. | |
19156 | (diary-glob-file-regexp-prefix): Doc fix. | |
19157 | (calendar-goto-info-node): Use `info' rather than `Info-find-node'. | |
19158 | (Info-find-emacs-command-nodes, Info-find-node): Remove declarations. | |
19159 | (calendar-week-start-day, calendar-debug-sexp): Move to start. | |
19160 | ||
19161 | * calendar/solar.el: Remove leading `*' from defcustom doc-strings. | |
19162 | (calendar-time-display-form, calendar-latitude) | |
19163 | (calendar-longitude, solar-equinoxes-solstices): Remove autoload | |
19164 | cookies. | |
19165 | (calendar-latitude, calendar-longitude): Move functions after | |
19166 | variables. | |
19167 | (diary-sabbath-candles-minutes): Move to start. | |
19168 | (solar-setup): Use or rather than if. | |
19169 | (solar-sin-degrees, solar-cosine-degrees, solar-tangent-degrees): | |
19170 | Remove condition-case. | |
19171 | (solar-atn2): Use zerop. | |
19172 | (solar-equinoxes-solstices): Doc fix. | |
19173 | ||
19174 | * mail/supercite.el: Remove leading `*' from defcustom doc-strings. | |
19175 | (sc-mode-map-prefix): Doc fix. Make it a defcustom. | |
19176 | ||
19177 | * textmodes/org.el (org-agenda-sunrise-sunset): Require solar. | |
19178 | (calendar-longitude, calendar-latitude, calendar-location-name): | |
19179 | Declare for compiler. | |
19180 | ||
19181 | 2008-03-06 Stefan Monnier <monnier@iro.umontreal.ca> | |
19182 | ||
19183 | * arc-mode.el (archive-ar-file-header-re): New const. | |
19184 | (archive-ar-summarize, archive-ar-extract): New funs. | |
19185 | (archive-find-type): Recognize ar archives. | |
19186 | ||
19187 | * vc-bzr.el (vc-bzr-resolve-when-done, vc-bzr-find-file-hook): | |
19188 | New functions. | |
19189 | ||
19190 | * info.el (Info-bookmark-make-cell): Don't use the info-node argument. | |
19191 | ||
19192 | 2008-03-06 Lennart Borgman <lennart.borgman@gmail.com> | |
19193 | ||
19194 | * emacs-lisp/lisp-mode.el (emacs-lisp-mode-map): | |
19195 | Replace :enable (mark-active) with :enable mark-active. | |
19196 | ||
19197 | 2008-03-06 Juanma Barranquero <lekktu@gmail.com> | |
19198 | ||
19199 | * vms-patch.el (make-legal-file-name): New obsolete alias. | |
19200 | (make-valid-file-name): Rename from `make-legal-file-name'. | |
19201 | (make-auto-save-file-name): Use it. | |
19202 | ||
19203 | * calendar/calendar.el (calendar-date-is-legal-p): New obsolete alias. | |
19204 | (calendar-date-is-valid-p): Rename from `calendar-date-is-legal-p'. | |
19205 | (calendar-date-is-visible-p, mark-visible-calendar-date): Use it. | |
19206 | ||
19207 | * textmodes/org.el (org-export-html-style): Doc fix. | |
19208 | (org-get-legal-level): New obsolete alias. | |
19209 | (org-get-valid-level): Rename from `org-get-legal-level'. | |
19210 | (org-promote, org-demote, org-archive-subtree) | |
19211 | (org-remember-handler, org-refile, org-put-clock-overlay): Use it. | |
19212 | ||
19213 | 2008-03-06 Jan Djärv <jan.h.d@swipnet.se> | |
19214 | ||
19215 | * term/x-win.el (x-gtk-stock-map): Add bookmark_add. | |
19216 | ||
19217 | * vc.el (vc-status-tool-bar-map, vc-status-toggle-mark-file) | |
19218 | (vc-status-toggle-mark): New functions. | |
19219 | (vc-status-mode): Set tool bar map. | |
19220 | ||
19221 | 2008-03-05 Chong Yidong <cyd@stupidchicken.com> | |
19222 | ||
19223 | * emacs-lisp/lisp.el (parens-require-spaces): Doc fix. | |
19224 | Reported by Drew Adams <drew.adams@oracle.com>. | |
19225 | ||
19226 | 2008-03-05 Kenichi Handa <handa@ni.aist.go.jp> | |
19227 | ||
19228 | * subr.el (read-quoted-char): Resolve modifiers of the character | |
19229 | event. | |
19230 | ||
19231 | * comint.el (comint-exec-1): Don't change the coding-system for | |
19232 | decoding to dos-like EOL. | |
19233 | (comint-carriage-motion): Fully rewrite. | |
19234 | ||
19235 | 2008-03-05 Juanma Barranquero <lekktu@gmail.com> | |
19236 | ||
19237 | * epg.el (epg-context-include-certs): Reflow docstring. | |
19238 | (epg-start-sign-keys, epg-sign-keys, epg-context-armor) | |
19239 | (epg-context-signers, epg-context-sig-notations, epg-context-set-armor) | |
19240 | (epg-context-set-signers, epg-context-set-sig-notations) | |
19241 | (epg-make-import-status, epg-make-import-result) | |
19242 | (epg-start-delete-keys): Fix typos in docstrings. | |
19243 | (epg-start-sign-keys, epg-sign-keys): | |
19244 | Fix typos in obsolescence declarations. | |
19245 | ||
19246 | * iswitchb.el: Don't check for `cadr' and `last'. | |
19247 | (iswitchb-define-mode-map, iswitchb-default-keybindings): | |
19248 | Add obsolescence declaration and remove redundant info from docstring. | |
19249 | (iswitchb-set-common-completion, iswitchb-set-matches) | |
19250 | (iswitchb-get-matched-buffers, iswitchb-visit-buffer): Use `let'. | |
19251 | (recentf-list, most-len, most-is-exact): | |
19252 | Don't wrap defvars within `eval-when-compile'. | |
19253 | ||
19254 | 2008-03-05 Glenn Morris <rgm@gnu.org> | |
19255 | ||
19256 | * ediff-hook.el (ediff-cond-compile-for-xemacs-or-emacs): Remove. | |
19257 | * ediff-init.el (ediff-cond-compile-for-xemacs-or-emacs): Remove. | |
19258 | * ediff-diff.el, ediff-help.el, ediff-hook.el, ediff-init.el: | |
19259 | * ediff-mult.el, ediff-util.el, ediff-wind.el: Expand all | |
19260 | ediff-cond-compile-for-xemacs-or-emacs calls to a featurep test. | |
19261 | ||
19262 | * ediff-hook.el (ediff-window-setup-function): Don't autoload | |
19263 | declaration for compiler. | |
19264 | (ediff-xemacs-init-menus): Use when rather than if. | |
19265 | ||
19266 | * ediff-init.el (top-level, ediff-frame-iconified-p): Simplify | |
19267 | if+and to just and. | |
19268 | (ediff-read-event, ediff-overlayp, ediff-make-overlay) | |
19269 | (ediff-delete-overlay): Move the XEmacs test inside the definition. | |
19270 | ||
19271 | * ediff-mult.el (ediff-get-meta-info): Use or and unless rather than if. | |
19272 | ||
19273 | * ediff-util.el (ediff-kill-bottom-toolbar): Place (ediff-use-toolbar-p) | |
19274 | test inside XEmacs test. | |
19275 | (ediff-make-bottom-toolbar): Place whole cond inside XEmacs test, | |
19276 | since it was doing nothing on Emacs. | |
19277 | (ediff-make-bullet-proof-overlay): Use when rather than if. | |
19278 | ||
19279 | * ediff-wind.el (ediff-select-lowest-window): Use when rather than if. | |
19280 | (ediff-setup-control-frame): Remove | |
19281 | ediff-cond-compile-for-xemacs-or-emacs, since it is already inside | |
19282 | a (featurep 'xemacs) test. | |
19283 | ||
19284 | 2008-03-05 Jay Belanger <jay.p.belanger@gmail.com> | |
19285 | ||
19286 | * calc/calc-ext.el (calc-extended-command-history): New variable. | |
183080b6 | 19287 | (calc-execute-extended-command): Use `calc-extended-command-history'. |
e3d51b27 MR |
19288 | |
19289 | 2008-03-05 Dan Nicolaescu <dann@ics.uci.edu> | |
19290 | ||
19291 | * bindings.el (mode-line-remote): Add mouse-face. Improve tooltip. | |
19292 | (standard-mode-line-position): Add mouse-face. | |
19293 | ||
19294 | * progmodes/compile.el (compilation-menu-map, compilation-mode-map): | |
19295 | * progmodes/grep.el (grep-mode-map): Add :help. | |
19296 | ||
19297 | * emacs-lisp/lisp-mode.el (emacs-lisp-mode-map): Define and | |
19298 | initialize in one step. Add :help. Use :enable to activate menu | |
19299 | items. Show the key binding for edebug-defun. | |
19300 | (lisp-interaction-mode-map): Add a menu. | |
19301 | ||
19302 | * term.el (term-mode-map): Define and initialize in one step. | |
19303 | ||
19304 | * ediff-init.el (ediff-color-display-p): Simplify. | |
19305 | (Xor): Remove unused function. | |
19306 | (ediff-with-syntax-table): Simplify for Emacs. | |
19307 | ||
19308 | * ediff-hook.el (menu-bar-ediff-menu): Don't depend on the | |
19309 | menu-bar being loaded, it always is. | |
19310 | ||
19311 | 2008-03-05 Glenn Morris <rgm@gnu.org> | |
19312 | ||
19313 | * textmodes/tex-mode.el (tex-mode): Suppress warning about | |
19314 | multiple definitions when compiling. | |
19315 | ||
19316 | 2008-03-04 Alan Mackenzie <acm@muc.de> | |
19317 | ||
19318 | * progmodes/cc-mode.el (c-neutralize-syntax-in-CPP): Fix coding bug. | |
19319 | ||
19320 | * progmodes/cc-langs.el (c-before-font-lock-function): Fix bug in | |
19321 | doc-string, "c-old-LEN" -> "c-old-END". | |
19322 | ||
19323 | 2008-03-04 Jason Rumney <jasonr@gnu.org> | |
19324 | ||
19325 | * nxml/rng-nxml.el (rng-preferred-prefix-alist): Add dublin core | |
19326 | namespaces. | |
19327 | ||
19328 | 2008-03-04 Glenn Morris <rgm@gnu.org> | |
19329 | ||
19330 | * textmodes/tex-mode.el (tex-cmd-bibtex-args): Add :version and :group. | |
19331 | ||
19332 | * ediff-init.el (ediff-clear-fine-diff-vector): Use mapc rather | |
19333 | than mapcar. | |
19334 | ||
19335 | 2008-03-03 Stefan Monnier <monnier@iro.umontreal.ca> | |
19336 | ||
19337 | * emacs-lisp/byte-opt.el (byte-compile-trueconstp) | |
19338 | (byte-compile-nilconstp): Can't use recursion in a defsubst. | |
19339 | ||
19340 | * textmodes/tex-mode.el (latex-mode): Remove % from paragraph-separate | |
19341 | so that M-q can fill comments. | |
19342 | (tex-executable-exists-p, tex-compile): Extend with special syntax for | |
19343 | commands implemented in elisp. | |
19344 | (tex-compile-commands): Add an entry to use doc-view for pdf files. | |
19345 | (tex-format-cmd): New function. | |
19346 | (tex-compile): Use it to let the user specify default arguments. | |
19347 | (tex-cmd-bibtex-args): New var. | |
19348 | (tex-cmd-doc-view): New function. | |
19349 | ||
19350 | 2008-03-03 Juanma Barranquero <lekktu@gmail.com> | |
19351 | ||
19352 | * faces.el (face-spec-set): Fix typos in docstring. | |
19353 | ||
19354 | 2008-03-03 Dan Nicolaescu <dann@ics.uci.edu> | |
19355 | ||
19356 | * bindings.el (mode-line-column-line-number-mode-map): New variable. | |
19357 | (standard-mode-line-position): Use it to add a menu for toggling | |
19358 | column number and line number display. | |
19359 | ||
19360 | 2008-03-03 Stefan Monnier <monnier@iro.umontreal.ca> | |
19361 | ||
19362 | * emacs-lisp/bytecomp.el (byte-compile-maybe-guarded): | |
19363 | Remove optimization that was working around the form-code-walker bug. | |
19364 | ||
19365 | * emacs-lisp/byte-opt.el (byte-optimize-form-code-walker): | |
19366 | Walk into the body of lambdas after byte-compile-unfold-lambda. | |
19367 | ||
19368 | 2008-03-03 Glenn Morris <rgm@gnu.org> | |
19369 | ||
19370 | * emulation/viper-util.el (viper-frame-value): Prefer buffer-local | |
19371 | value, if set, over frame value. | |
19372 | ||
19373 | * simple.el (transient-mark-mode): Don't turn on by default. | |
19374 | ||
19375 | * net/tls.el (open-tls-stream): Restore the 2007-11-04 change | |
19376 | accidentally removed by the 2007-12-05 merge from Gnus. | |
19377 | ||
19378 | 2008-03-02 Dan Nicolaescu <dann@ics.uci.edu> | |
19379 | ||
19380 | * progmodes/compile.el (compilation-menu-map): Add menu entries | |
19381 | for useful options. | |
19382 | ||
19383 | 2008-03-01 Dan Nicolaescu <dann@ics.uci.edu> | |
19384 | Glenn Morris <rgm@gnu.org> | |
19385 | ||
19386 | * emacs-lisp/bytecomp.el (byte-recompile-directory) | |
19387 | (byte-compile-file, batch-byte-compile, batch-byte-compile-file): | |
19388 | Give a `bytecomp-' prefix to local variables with common names. | |
19389 | ||
19390 | 2008-03-01 Glenn Morris <rgm@gnu.org> | |
19391 | ||
3889f0fa SM |
19392 | * emacs-lisp/bytecomp.el (byte-compile-maybe-guarded): |
19393 | Restore code commented out 2007-11-10. | |
e3d51b27 MR |
19394 | |
19395 | * emulation/edt.el (zmacs-region-stays): Define for compiler once only. | |
19396 | ||
19397 | * emulation/viper-init.el (viper-cond-compile-for-xemacs-or-emacs): | |
19398 | Delete macro. | |
19399 | * emulation/viper-cmd.el, emulation/viper-ex.el: | |
19400 | * emulation/viper-init.el, emulation/viper-keym.el: | |
19401 | * emulation/viper-mous.el, emulation/viper-util.el: | |
19402 | * emulation/viper.el: | |
19403 | Expand all viper-cond-compile-for-xemacs-or-emacs calls to a | |
19404 | featurep test. | |
19405 | ||
19406 | Replace obsolete frame-local variables with frame-parameters. | |
19407 | * emulation/viper-init.el (viper-replace-overlay-cursor-color) | |
19408 | (viper-insert-state-cursor-color, viper-emacs-state-cursor-color) | |
19409 | (viper-vi-state-cursor-color): Only call make-variable-frame-local | |
19410 | on XEmacs. | |
19411 | * emulation/viper-util.el (viper-frame-value): New macro. | |
19412 | * emulation/viper-cmd.el (viper-insert-state-post-command-sentinel) | |
19413 | (viper-R-state-post-command-sentinel) | |
19414 | (viper-replace-state-post-command-sentinel) | |
19415 | (viper-change-state-to-insert, viper-change-state-to-emacs): | |
19416 | * emulation/viper-util.el (viper-set-cursor-color-according-to-state) | |
19417 | (viper-save-cursor-color, viper-get-saved-cursor-color-in-replace-mode) | |
19418 | (viper-get-saved-cursor-color-in-insert-mode) | |
19419 | (viper-get-saved-cursor-color-in-emacs-mode, viper-set-replace-overlay): | |
19420 | Use viper-frame-value for viper-replace-overlay-cursor-color, | |
19421 | viper-emacs-state-cursor-color, viper-insert-state-cursor-color, and | |
19422 | viper-vi-state-cursor-color values. | |
19423 | ||
19424 | * emulation/viper-cmd.el (zmacs-region-stays): | |
19425 | * emulation/viper-util.el (zmacs-region-stays): No need to define | |
19426 | for compiler. | |
19427 | ||
19428 | * emulation/viper-keym.el (viper-add-keymap): Use mapc rather than | |
19429 | mapcar on Emacs. | |
19430 | ||
19431 | * emulation/viper-mous.el (viper-counting-clicks-p): Only define | |
19432 | on XEmacs. | |
19433 | ||
19434 | * emulation/viper-util.el (viper-set-minibuffer-overlay): Use when | |
19435 | rather than if. | |
19436 | ||
19437 | 2008-03-01 Vinicius Jose Latorre <viniciusjl@ig.com.br> | |
19438 | ||
19439 | * whitespace.el: New version 9.3. As the glyph code generation was | |
19440 | fixed, it is possible now to use character code above ?\x1FFFF in the | |
19441 | display table. Fix `whitespace-indentation-regexp' to not include an | |
19442 | extra ending character. Reported by Michael Welsh Duggan | |
19443 | <mwd@cert.org>. Added hook actions when buffer is written or killed as | |
19444 | the original whitespace package had. Suggested by Eric Cooper | |
19445 | <ecc@cmu.edu>. Doc fix. | |
19446 | (whitespace-action): New option. | |
19447 | (whitespace-display-mappings): Changed default newline visualization to | |
19448 | display downwards arrow, as the glyph code generation was fixed. | |
19449 | (whitespace-unload-function): Assure that all local whitespace mode is | |
19450 | turned off. | |
19451 | (whitespace-global-modes): Fix type customization. | |
19452 | (whitespace-mode, global-whitespace-mode, whitespace-cleanup-region) | |
19453 | (whitespace-insert-option-mark, whitespace-help-on, whitespace-turn-on) | |
19454 | (whitespace-turn-off, whitespace-color-on, whitespace-display-char-on): | |
19455 | Fix code. | |
19456 | (whitespace-buffer): Command removed. | |
19457 | (whitespace-trailing-regexp, whitespace-mark-x) | |
19458 | (whitespace-display-window, whitespace-action-when-on) | |
19459 | (whitespace-add-local-hook, whitespace-remove-local-hook) | |
19460 | (whitespace-write-file-hook, whitespace-kill-buffer-hook) | |
19461 | (whitespace-action): New funs. | |
19462 | (whitespace-report-list, whitespace-report-text) | |
19463 | (whitespace-report-buffer-name): New consts. | |
19464 | (whitespace-report, whitespace-report-region): New commands. | |
19465 | ||
19466 | 2008-03-01 Juanma Barranquero <lekktu@gmail.com> | |
19467 | ||
19468 | * disp-table.el (make-glyph-code): Don't test the result of | |
19469 | `face-id', which already signals an error for invalid faces. | |
19470 | (glyph-face): Simplify. | |
19471 | ||
19472 | * desktop.el (desktop-read): Set `desktop-dirname' to nil before | |
19473 | running `desktop-not-loaded-hook' to allow modifying it. | |
19474 | Don't show warning message if `desktop-dirname' was modified. | |
19475 | ||
19476 | 2008-03-01 Stefan Monnier <monnier@iro.umontreal.ca> | |
19477 | ||
19478 | * diff-mode.el (diff-sanity-check-hunk): Only accept an empty line if | |
19479 | we still expect more lines. | |
19480 | ||
19481 | * textmodes/fill.el (fill-comment-paragraph): Don't try to do | |
19482 | comment-paragraph filling if the commark doesn't match | |
19483 | comment-start-skip. | |
19484 | ||
19485 | 2008-03-01 Daiki Ueno <ueno@unixuser.org> | |
19486 | ||
19487 | * international/utf-7.el (utf-7-encode): Never skip the trailing - for | |
19488 | the `imap' variant. | |
19489 | ||
19490 | 2008-03-01 Jason Rumney <jasonr@gnu.org> | |
19491 | ||
19492 | * files.el (make-auto-save-file-name): Encode more characters in | |
19493 | non-file buffer names. Use url-encoding. | |
19494 | ||
19495 | 2008-03-01 Juanma Barranquero <lekktu@gmail.com> | |
19496 | ||
19497 | * net/net-utils.el (ftp-program): Fix typo in docstring. | |
19498 | (ifconfig-program-options, netstat-program-options) | |
19499 | (arp-program-options, route-program-options, nslookup-program-options) | |
19500 | (ftp-program-options, smbclient-program-options) | |
19501 | (dns-lookup-program-options, arp, route): Doc fixes. | |
19502 | ||
19503 | * progmodes/gdb-ui.el (gdb-pc-address, gdb-source-file-list) | |
19504 | (gdb-cpp-define-alist-program, gdb-mouse-jump, gdb-get-buffer-create) | |
19505 | (gdb-set-gud-minor-mode-existing-buffers-1, gdb-debug-log): | |
19506 | Fix typos in docstrings. | |
19507 | (gdb-pending-triggers): Reflow docstring. | |
19508 | (gdb, gdb-init-1): Fix typos in docstrings of gud-def definitions. | |
19509 | ||
19510 | 2008-03-01 Alan Mackenzie <acm@muc.de> | |
19511 | ||
19512 | * progmodes/cc-mode.el (c-neutralize-syntax-in-CPP): Fix a bug on | |
19513 | typing "#" at EOB. | |
19514 | ||
19515 | 2008-03-01 Juanma Barranquero <lekktu@gmail.com> | |
19516 | ||
19517 | * emulation/cua-base.el (cua-remap-control-z): Fix typo in docstring. | |
19518 | ||
19519 | 2008-02-29 Kim F. Storm <storm@cua.dk> | |
19520 | ||
19521 | * emulation/cua-base.el (cua-remap-control-v) | |
19522 | (cua-remap-control-z): New defcustoms. | |
19523 | (cua-mode): Add them to set-after property. | |
19524 | (cua--init-keymaps): Use them. | |
19525 | Add C-x/C-c home, end, next, and prior to cua--prefix-repeat-keymap. | |
19526 | ||
19527 | * help.el (view-emacs-todo): Rename from view-todo. | |
19528 | (describe-gnu-project): Rename from describe-project. Users changed. | |
19529 | (view-help-file): New helper function. | |
19530 | (describe-distribution, describe-copying, describe-gnu-project) | |
19531 | (view-todo, view-order-manuals, view-emacs-problems): Use it. | |
19532 | (view-emacs-debugging, view-external-packages): New commands. | |
19533 | (help-map): Move describe-distribution to C-h C-o (ordering). | |
19534 | Move view-emacs-problems to C-h C-p (problems). | |
19535 | Bind view-emacs-debugging to C-h C-d (debugging). | |
19536 | Bind view-external-packages to C-h C-e (extras). | |
19537 | (help-for-help-internal): Cleanup and align descriptions. | |
19538 | Remove command names to reduce clutter. | |
19539 | ||
19540 | 2008-02-29 Nick Roberts <nickrob@snap.net.nz> | |
19541 | ||
19542 | * vc.el (vc-set-mode-line-busy-indicator): Use shorter message | |
19543 | and quieter face (not a warning). | |
19544 | ||
19545 | 2008-02-29 Dan Nicolaescu <dann@ics.uci.edu> | |
19546 | ||
19547 | * vc.el (vc-status-crt-marked): New variable. | |
19548 | (vc-status-mode): Make it local. | |
19549 | (vc-status-refresh): Use it to save the marked files. | |
19550 | (vc-update-vc-status-buffer): Use it to restore the marked files. | |
19551 | ||
19552 | * vc-svn.el (vc-svn-after-dir-status): | |
19553 | * vc-hg.el (vc-hg-after-dir-status): Clean up the temporary buffer. | |
19554 | ||
19555 | 2008-02-29 Glenn Morris <rgm@gnu.org> | |
19556 | ||
19557 | * allout.el (allout-topic-encryption-bullet) | |
19558 | (allout-passphrase-verifier-handling, allout-passphrase-hint-handling) | |
19559 | (allout-encrypt-unencrypted-on-saves): Change defcustom :version | |
19560 | from 22.0 to 22.1. | |
19561 | ||
19562 | * net/imap.el (imap-ping-server): | |
19563 | * net/tls.el (tls-checktrust, tls-untrusted, tls-hostmismatch): | |
19564 | Change defcustom :version from 23.0 to 23.1. | |
19565 | ||
19566 | 2008-02-29 Juanma Barranquero <lekktu@gmail.com> | |
19567 | ||
19568 | * desktop.el (desktop-save): Save the buffer name if the | |
19569 | uniquified base name is empty. | |
19570 | ||
19571 | 2008-02-29 Nick Roberts <nickrob@snap.net.nz> | |
19572 | ||
19573 | * progmodes/gdb-ui.el (gdb-info-stack-custom): Apply function-name-face | |
19574 | correctly when user has "set print address off". | |
19575 | ||
19576 | 2008-02-28 Juanma Barranquero <lekktu@gmail.com> | |
19577 | ||
19578 | * cus-edit.el (custom-mode, custom-mode-hook): Use 23.1 as | |
19579 | version number of the next major Emacs release, not 23.0. | |
19580 | ||
19581 | * longlines.el (longlines-unload-function): New function. | |
19582 | ||
19583 | 2008-02-28 Juri Linkov <juri@jurta.org> | |
19584 | ||
19585 | * startup.el (normal-splash-screen): Add argument `concise'. | |
19586 | Remove unused binding `prev-buffer'. Let-bind `splash-buffer' | |
19587 | to the created buffer. If `concise' is non-nil, call | |
19588 | `display-buffer', otherwise `switch-to-buffer'. Doc fix. | |
19589 | (display-startup-screen): Add argument `concise' to the call to | |
19590 | `normal-splash-screen'. | |
19591 | ||
19592 | 2008-02-28 Kim F. Storm <storm@cua.dk> | |
19593 | ||
19594 | * startup.el (startup-echo-area-message): Check for about-emacs. | |
19595 | ||
19596 | 2008-02-28 Juri Linkov <juri@jurta.org> | |
19597 | ||
19598 | * startup.el: Always add initial message to *scratch* buffer if | |
19599 | `initial-scratch-message' is non-nil regardless of the value of | |
19600 | `inhibit-startup-screen'. | |
19601 | (inhibit-startup-screen, initial-scratch-message): Doc fix. | |
19602 | (command-line-1): Move code that inserts `initial-scratch-message' | |
19603 | up before the if-form that checks for `inhibit-startup-screen'. | |
19604 | Suggested by Jonathan Rockway <jon@jrock.us>. | |
19605 | ||
19606 | 2008-02-28 Juri Linkov <juri@jurta.org> | |
19607 | ||
19608 | * cus-edit.el (custom-mode-map, custom-mode-link-map): | |
19609 | Rename `custom-mode' to `Custom-mode' in docstrings. | |
19610 | (custom-buffer-create-internal, customize-browse): | |
19611 | Rename `custom-mode' to `Custom-mode'. | |
19612 | (custom-mode-hook): Rename to `Custom-mode-hook'. | |
19613 | (Custom-mode-hook): Renamed from `custom-mode-hook'. | |
19614 | (custom-mode): Rename to `Custom-mode'. | |
19615 | (Custom-mode): Renamed from `custom-mode'. Doc fix. | |
19616 | (custom-mode): Add backward-compatible non-interactive variant of | |
19617 | `Custom-mode' that simply calls `Custom-mode'. Mark it obsoleted. | |
19618 | (custom-mode-hook): Mark it as obsolete alias of `Custom-mode-hook'. | |
19619 | ||
19620 | * info-look.el: Rename `custom-mode' to `Custom-mode'. | |
19621 | ||
19622 | * emulation/viper.el (viper-emacs-state-mode-list): | |
19623 | Rename `custom-mode' to `Custom-mode'. | |
19624 | ||
19625 | * menu-bar.el (menu-bar-search-menu, menu-bar-replace-menu): | |
19626 | Capitalize "Tagged Files". | |
19627 | (minibuffer-local-map): Add menu items for next/previous | |
19628 | history elements and isearch history forward/backward. | |
19629 | ||
19630 | * progmodes/hideshow.el (hs-minor-mode-menu): Compare | |
19631 | `hs-isearch-open' with t instead of `comment' in :selected | |
19632 | for "Code and Comment blocks" menu item. | |
19633 | ||
19634 | 2008-02-28 Stefan Monnier <monnier@iro.umontreal.ca> | |
19635 | ||
19636 | * uniquify.el (uniquify-buffer-base-name): Undo last change. | |
19637 | Should be done in desktop.el instead. | |
19638 | ||
19639 | 2008-02-28 Glenn Morris <rgm@gnu.org> | |
19640 | ||
19641 | * autoinsert.el (auto-insert-alist): Update to FDL 1.2. | |
19642 | ||
19643 | * emacs-lisp/byte-run.el (make-obsolete): Doc fix. | |
19644 | ||
19645 | * mail/emacsbug.el: Remove leading `*' from defcustom doc-strings. | |
19646 | (Info-menu, Info-goto-node): Remove declarations. | |
19647 | (report-emacs-bug-info): Use info rather than Info-goto-node. | |
19648 | ||
19649 | * progmodes/idlwave.el (Info-goto-node): Remove declaration. | |
19650 | (idlwave-convert-xml-system-routine-info): Don't require xml. | |
19651 | (idlwave-show-commentary, idlwave-shell-show-commentary): | |
19652 | Don't require finder. | |
19653 | (idlwave-info): Don't require info. Use info rather than | |
19654 | Info-goto-node. | |
19655 | ||
19656 | * textmodes/org.el (Info-goto-node): Remove declaration. | |
19657 | (org-info): Use info rather than Info-goto-node. | |
19658 | ||
19659 | * textmodes/reftex.el (reftex-show-commentary): Don't require finder. | |
19660 | (reftex-info): Don't require info. Use info rather than Info-goto-node. | |
19661 | ||
19662 | 2008-02-28 Dan Nicolaescu <dann@ics.uci.edu> | |
19663 | ||
19664 | * progmodes/hideshow.el (hs-minor-mode-menu): Add some options to | |
19665 | the menu. | |
19666 | ||
19667 | * vc.el (vc-deduce-fileset, vc-next-action, vc-start-entry) | |
19668 | (vc-finish-logentry): Check for vc-status-mode, not only for | |
19669 | vc-dired-mode. | |
19670 | ||
19671 | 2008-02-28 Kenichi Handa <handa@ni.aist.go.jp> | |
19672 | ||
19673 | * isearch.el (isearch-printing-char): Don't check | |
19674 | keyboard-coding-system. | |
19675 | Call isearch-process-search-multibyte-characters only when | |
19676 | current-input-method is non-nil. | |
19677 | ||
19678 | 2008-02-27 Kim F. Storm <storm@cua.dk> | |
19679 | ||
19680 | * disp-table.el (make-glyph-code): Encode as cons if face id > 63. | |
19681 | (glyph-char, glyph-face): Handle cons encoding. | |
19682 | ||
19683 | 2008-02-27 Juanma Barranquero <lekktu@gmail.com> | |
19684 | ||
19685 | * uniquify.el (uniquify-buffer-base-name): If the base name is an | |
19686 | empty string, return nil to allow the caller to default to the | |
19687 | buffer name. Reported by Martin Fischer <parozusa@web.de>. | |
19688 | ||
19689 | * tool-bar.el (tool-bar-setup): Doc fix. | |
19690 | ||
19691 | * mail/supercite.el (sc-describe): | |
19692 | Fix typos in obsolescence declaration. | |
19693 | ||
19694 | 2008-02-27 Glenn Morris <rgm@gnu.org> | |
19695 | ||
19696 | * autoinsert.el (auto-insert-alist): Change permission text to | |
19697 | match FSF's GPLv3 form. | |
19698 | ||
19699 | * mail/supercite.el (sc-cite-original): Doc fix. | |
19700 | (sc-version): Make obsolete. | |
19701 | (sc-describe): Show the SC info page. Make obsolete. | |
19702 | ||
19703 | 2008-02-26 Stefan Monnier <monnier@iro.umontreal.ca> | |
19704 | ||
19705 | * simple.el (set-mark-command): Deactivate mark on second C-SPC C-SPC | |
19706 | when using transient-mark-mode. | |
19707 | (default-indicate-unused-lines): Remove unused var. | |
19708 | ||
19709 | 2008-02-26 Jan Djärv <jan.h.d@swipnet.se> | |
19710 | ||
19711 | * progmodes/grep.el (grep-mode-tool-bar-map): Change place on next | |
19712 | and previous. | |
19713 | ||
19714 | * progmodes/compile.el (compilation-mode-tool-bar-map): The same. | |
19715 | ||
19716 | 2008-02-26 Glenn Morris <rgm@gnu.org> | |
19717 | ||
19718 | * net/net-utils.el (top-level): Don't require comint when compiling. | |
19719 | (nslookup-font-lock-keywords): Don't require font-lock. | |
19720 | Use font-lock faces rather than variables. | |
19721 | (nslookup, ftp, smbclient, network-service-connection): | |
19722 | Don't require comint. | |
19723 | (comint-prompt-regexp, comint-input-autoexpand) | |
19724 | (comint-input-ring): Declare for compiler. | |
19725 | (comint-mode, ffap-string-at-point, comint-exec): Autoload. | |
19726 | (dns-lookup-host): Don't require ffap. Remove `with-no-warnings'. | |
19727 | ||
19728 | * ibuffer.el (ibuffer-do-toggle-read-only): Don't use `iff' in | |
19729 | doc-string. | |
19730 | ||
19731 | 2008-02-26 Stefan Monnier <monnier@iro.umontreal.ca> | |
19732 | ||
19733 | * doc-view.el (doc-view-current-page): Add a `win' argument. | |
19734 | ||
19735 | 2008-02-25 Stefan Monnier <monnier@iro.umontreal.ca> | |
19736 | ||
19737 | * doc-view.el (doc-view-conversion-buffer): Give it an internal name. | |
19738 | Demote it to plain defvar. | |
19739 | (doc-view-conversion-refresh-interval): Reduce interval. | |
19740 | (doc-view-goto-page): Allow moving to pages not yet rendered. | |
19741 | (doc-view-goto-page): Construct a file name rather than extracting it | |
19742 | from doc-view-current-files. | |
19743 | (doc-view-kill-proc): Ignore errors from kill-process. | |
19744 | (doc-view-pdf/ps->png-sentinel): Die gracefully if the buffer is dead. | |
19745 | (doc-view-insert-image): Use appropriate text if the page hasn't been | |
19746 | rendered yet. Adjust scrolling so the text is displayed. | |
19747 | (doc-view-display): Detect not just that a page is available, but also | |
19748 | that it wasn't available before, so as to avoid refreshing all pages | |
19749 | repeatedly. | |
19750 | (doc-view-mode): Make doc-view-cache-directory if needed. | |
19751 | ||
19752 | 2008-02-25 Roland Winkler <Roland.Winkler@physik.uni-erlangen.de> | |
19753 | ||
19754 | * ibuffer.el (ibuffer-do-toggle-read-only): New optional arg as in | |
19755 | toggle-read-only. | |
19756 | ||
19757 | * textmodes/bibtex.el (bibtex-format-entry): Handle error message | |
19758 | refering to a missing required field with the OPT prefix. | |
19759 | Make unwindform more robust. | |
19760 | ||
19761 | * textmodes/bibtex.el: Remove support for hideshow minor mode as | |
19762 | it duplicates the bibtex support in progmodes/hideshow.el. | |
19763 | * progmodes/hideshow.el (hs-special-modes-alist): Allow bibtex | |
19764 | entries that do not start at the beginning of a line. | |
19765 | ||
19766 | 2008-02-25 Chong Yidong <cyd@stupidchicken.com> | |
19767 | ||
19768 | * files.el (shell-quote-wildcard-pattern): Quote ' and " as well. | |
19769 | ||
19770 | 2008-02-25 Robert J. Chassell <bob@rattlesnake.com> | |
19771 | ||
19772 | * help-fns.el (describe-variable): Add phrases about | |
19773 | initialization file with and without customization; | |
19774 | use new button type help-info-variable. | |
19775 | ||
19776 | * help-mode.el (help-info-variable): | |
19777 | New button able to read Info files for help-fns.el. | |
19778 | ||
19779 | 2008-02-25 Jan Djärv <jan.h.d@swipnet.se> | |
19780 | ||
19781 | * progmodes/grep.el (grep-mode-tool-bar-map): New variable. | |
19782 | (grep-mode): Use grep-mode-tool-bar-map. | |
19783 | ||
19784 | * progmodes/compile.el (tool-bar): Require tool-bar. | |
19785 | (compilation-mode-tool-bar-map): New variable. | |
19786 | (compilation-mode): Use compilation-mode-tool-bar-map. | |
19787 | ||
19788 | * term/x-win.el (x-gtk-stock-map): Add cancel. Remove extensions. | |
19789 | ||
19790 | 2008-02-25 Glenn Morris <rgm@gnu.org> | |
19791 | ||
19792 | * vc-sccs.el (vc-sccs-diff): Fix setting of oldvers and newvers. | |
19793 | ||
19794 | 2008-02-25 Stefan Monnier <monnier@iro.umontreal.ca> | |
19795 | ||
19796 | * diff-mode.el (diff-file-junk-re): New const. | |
19797 | (diff-beginning-of-file-and-junk): Use it. | |
19798 | (diff-file-kill): Make sure we were really inside a file diff. | |
19799 | ||
19800 | * diff-mode.el: Make it more robust in the presence of empty context | |
19801 | lines in unified hunks. | |
19802 | (diff-valid-unified-empty-line): New var. | |
19803 | (diff-unified->context, diff-sanity-check-hunk): Obey it. | |
19804 | (diff-end-of-hunk): Obey it. New arg `donttrustheader'. | |
19805 | (diff-fixup-modifs, diff-post-command-hook): Use this new arg. | |
19806 | (diff-hunk-header-re-unified): New const. | |
19807 | (diff-font-lock-keywords, diff-hunk-header-re, diff-split-hunk) | |
19808 | (diff-fixup-modifs, diff-unified->context, diff-next-complex-hunk) | |
19809 | (diff-sanity-check-hunk): Use it. | |
19810 | ||
19811 | * diff-mode.el (diff-beginning-of-file-and-junk): If we're on the | |
19812 | Index: line, don't search backward for the previous one. | |
19813 | ||
19814 | 2008-02-25 Kenichi Handa <handa@ni.aist.go.jp> | |
19815 | ||
19816 | * international/fontset.el (setup-default-fontset): Add non-OTF | |
19817 | lao font for lao script. | |
19818 | ||
19819 | * language/tibetan.el: Register tibetan-composition-function in | |
19820 | composition-function-table. | |
19821 | ||
19822 | * language/tibet-util.el (tibetan-composition-function): | |
19823 | Adjust for the new calling way (argument changed). Try font-shape-text | |
19824 | if possible. | |
19825 | ||
19826 | * language/lao.el: Register lao-composition-function in | |
19827 | composition-function-table. | |
19828 | ||
19829 | * language/lao-util.el (lao-composition-function): Adjust for the new | |
19830 | calling way (argument changed). Try font-shape-text if possible. | |
19831 | ||
19832 | 2008-02-25 Jason Rumney <jasonr@gnu.org> | |
19833 | ||
19834 | * files.el (file-name-invalid-regexp): Fix octal/decimal confusion. | |
19835 | ||
19836 | 2008-02-25 Juri Linkov <juri@jurta.org> | |
19837 | ||
19838 | * isearch.el (isearch-fail): Use "RosyBrown1" for a light | |
19839 | background, "red4" for a dark background, "red" for 16 and | |
19840 | 8 colors, "grey" for grayscale, and inverse video otherwise. | |
19841 | Add :version tag. | |
19842 | (isearch-message): Keep the original isearch-message intact, and | |
19843 | add text properties to it where necessary. Add `isearch-error' to | |
19844 | the condition that checks if isearch is unsuccessful. | |
19845 | ||
19846 | 2008-02-24 Juri Linkov <juri@jurta.org> | |
19847 | ||
19848 | * progmodes/compile.el (compilation-handle-exit): | |
19849 | Use compilation-error face instead of font-lock-warning-face. | |
19850 | Display the same message in the minibuffer as is inserted | |
19851 | at the end of the compilation buffer. | |
19852 | ||
19853 | 2008-02-24 Glenn Morris <rgm@gnu.org> | |
19854 | ||
19855 | * vc-cvs.el (vc-cvs-register): Fix registering of directories in | |
19856 | multiple file case. | |
19857 | ||
19858 | * vc-mcvs.el (vc-mcvs-register): Fix let-binding (for use of `file'). | |
19859 | ||
19860 | * vc-rcs.el (vc-rcs-register): Fix treatment of directories in | |
19861 | multiple file case. Use a single `let' rather than two. | |
19862 | ||
19863 | 2008-02-24 Dan Nicolaescu <dann@ics.uci.edu> | |
19864 | ||
19865 | * progmodes/compile.el (compilation-start): Specify a face for | |
19866 | mode-line-process. | |
19867 | (compilation-handle-exit): Specify a face and a tooltip for | |
19868 | mode-line-process. | |
19869 | ||
19870 | 2008-02-24 Stefan Monnier <monnier@iro.umontreal.ca> | |
19871 | ||
19872 | * hilit-chg.el: Remove spurious * in defcustom docstrings. | |
19873 | (hilit-chg-make-ov): Simplify. | |
19874 | (hilit-chg-fixup): Use remove-overlays. | |
19875 | (hilit-chg-set-face-on-change): Remove redundant call to | |
19876 | `remove-text-properties'. | |
19877 | ||
19878 | * dired.el (dired-mark-prompt): Don't count/display the t element. | |
19879 | Reported by Carsten Blaauw <it-media.blaauw@daimler.com>. | |
19880 | ||
19881 | 2008-02-24 Dan Nicolaescu <dann@ics.uci.edu> | |
19882 | ||
19883 | * progmodes/verilog-mode.el (eval-when-compile): Don't define | |
19884 | add-submenu. | |
19885 | (verilog-xemacs-menu): Add :keys for C-M-a, C-M-e and C-M-h. | |
19886 | Remove. Move contents to the only use ... | |
19887 | (verilog-menu): ... here. | |
19888 | (verilog-statement-menu): Remove. Move contents to the only use ... | |
19889 | (verilog-stmt-menu): ... here. | |
19890 | (verilog-mark-defun): Simply call mark-defun for emacs. | |
19891 | (occur-pos-list): Declare for byte compiler. | |
19892 | (mode-popup-menu): Don't defvar. | |
19893 | (verilog-add-statement-menu): Remove. | |
19894 | (verilog-mode-hook): Don't add verilog-add-statement-menu. | |
19895 | (verilog-mode): Call easy-menu-add and set mode-popup-menu for XEmacs. | |
19896 | ||
19897 | 2008-02-24 Michael McNamara <mac@mail.brushroad.com> | |
19898 | ||
19899 | * progmodes/verilog-mode.el (verilog-xemacs-menu): Remove XEmacs | |
19900 | conditional. | |
19901 | (verilog-font-grouping-keywords-face): Make the begin..end | |
19902 | keywords standout more than other verilog keywords. | |
19903 | (verilog-type-font-keywords): Move the begin..end out of this list | |
19904 | to facilitate making them to (potentially) stand out more. | |
19905 | (verilog-backward-token): Fix indent of bare always{_*}?, initial, | |
19906 | function & task blocks. | |
19907 | (verilog-behavioral-block-beg-re): Fix indent of bare always{_*}?, | |
19908 | initial, function & task blocks. | |
19909 | (verilog-forward-sexp): Handle the new "disable fork" statement of | |
19910 | IEEE-1800 Verilog. | |
19911 | (verilog-beg-block-re-ordered): Handle the new "disable fork" | |
19912 | statement of IEEE-1800 Verilog. | |
19913 | (verilog-calc-1): Handle the new "disable fork" statement of | |
19914 | IEEE-1800 Verilog. | |
19915 | (verilog-disable-fork-re): Add const to help handle the new | |
19916 | "disable fork" statement of IEEE-1800 Verilog. | |
19917 | (verilog-declaration-core-re): Add port directions by themselves, | |
19918 | with no qualification, as base item of a declaration. | |
19919 | (verilog-pretty-declarations): Add new flag to ask it to refrain | |
19920 | from printing to the message buffer. | |
19921 | (verilog-pretty-expr): Add a QUIET flag to ask it to refrain from | |
19922 | printing to the message buffer. Improve handling of the many | |
19923 | types of expression line up. | |
19924 | (verilog-just-one-space): Remove printing of an empty message. | |
19925 | (verilog-get-lineup-indent): Rework to support the better handling | |
19926 | of expression lineup for verilog-pretty-expr. | |
19927 | (verilog-auto-wire): Pass the quiet flag to verilog-pretty-expr. | |
19928 | ||
19929 | 2008-02-24 Alan Mackenzie <acm@muc.de> | |
19930 | ||
19931 | * progmodes/cc-mode.el (c-extend-region-for-CPP): Bug fix from | |
19932 | yesterday's commit. | |
19933 | ||
19934 | 2008-02-24 Nick Roberts <nickrob@snap.net.nz> | |
19935 | ||
19936 | * progmodes/gdb-ui.el (gdb-mouse-set-clear-breakpoint): Fall back | |
19937 | to mouse-set-point in buffers that aren't associated with files. | |
19938 | ||
19939 | * progmodes/gud.el: Rename menu item to "Show GUD tooltips". | |
19940 | ||
19941 | 2008-02-24 Alan Mackenzie <acm@muc.de> | |
19942 | ||
19943 | Set of changes so that "obtrusive" syntactic elements in a | |
19944 | C/C++/ObjC preprocessor line (e.g. an unbalanced string quote or | |
19945 | unmatched paren) don't interact syntactically with stuff outside | |
19946 | the CPP line. | |
19947 | ||
19948 | * progmodes/cc-awk.el (c-awk-beyond-logical-line, c-awk-old-ByLL): | |
19949 | Replace c-awk-end-of-logical-line and c-awk-old-EoLL to solve an | |
19950 | off-by-one bug. | |
19951 | (c-awk-record-region-clear-NL): Replaces c-awk-before-change, with | |
19952 | a bit of refactoring. | |
19953 | (c-awk-extend-and-syntax-tablify-region): Takes some of the | |
19954 | functionality of c-awk-advise-fl-for-awk-region, which has been | |
19955 | refactored away. | |
19956 | ||
19957 | * progmodes/cc-defs.el (c-clear-char-property-with-value-function) | |
19958 | (c-clear-char-property-with-value): New function and macro which | |
19959 | remove text-properties `equal' to a supplied value. | |
19960 | ||
19961 | * progmodes/cc-engine.el: Comment about text properties amended. | |
19962 | ||
19963 | * progmodes/cc-fonts.el (c-cpp-matchers): Make it put regexp | |
19964 | parens around "error\\|warning". | |
19965 | ||
19966 | * progmodes/cc-langs.el (c-get-state-before-change-function) | |
19967 | (c-before-font-lock-function, c-anchored-cpp-prefix): | |
19968 | New language variables. | |
19969 | (c-cpp-message-directives): Handle "#warning" in C, C++ and ObjC. | |
19970 | ||
19971 | * progmodes/cc-mode.el (c-basic-common-init): C and ObjC now use | |
19972 | syntax-table text properties. | |
19973 | (c-common-init): Call language specific before/after-change | |
19974 | functions at mode initialisation. | |
19975 | (c-new-BEG, c-new-END, c-old-BOM, c-old-EOM): New variables. | |
19976 | (c-extend-region-for-CPP, c-neutralize-CPP-line) | |
19977 | (c-neutralize-syntax-in-CPP): New functions. | |
19978 | (c-before-change, c-after-change): Call the new language specific | |
19979 | change functions defined in cc-langs.el. | |
19980 | (c-advise-fl-for-region): New macro. | |
19981 | (awk-mode): Remove AWK specific stuff which has been refactored | |
19982 | into language independent stuff. | |
19983 | ||
19984 | 2008-02-24 Nick Roberts <nickrob@snap.net.nz> | |
19985 | ||
19986 | * progmodes/gdb-ui.el (gdba): Recreate as an alias for gdb. | |
19987 | (gdb): (Re)set gdb-flush-pending-output to nil here... | |
19988 | (gdb-init-1): ...instead of here (before gdb-prompt). | |
19989 | ||
19990 | 2008-02-24 Stefan Monnier <monnier@iro.umontreal.ca> | |
19991 | ||
19992 | * progmodes/ada-mode.el (ada-font-lock-syntactic-keywords): | |
19993 | Recognize ''' just like any other char-constant. | |
19994 | ||
19995 | 2008-02-24 Stefan Monnier <monnier@iro.umontreal.ca> | |
19996 | ||
19997 | * vc-hooks.el (vc-find-root): Remove initial loop because it's not | |
19998 | careful enough. Detect the uid-change all within the main loop. | |
19999 | ||
20000 | 2008-02-24 Stefan Monnier <monnier@pastel.home> | |
20001 | ||
20002 | * textmodes/sgml-mode.el (sgml-mode): Fix comment syntax. | |
20003 | ||
20004 | 2008-02-24 Stefan Monnier <monnier@iro.umontreal.ca> | |
20005 | ||
20006 | * hilit-chg.el (highlight-save-buffer-state): New macro. | |
20007 | (highlight-save-buffer-state, hilit-chg-set-face-on-change) | |
20008 | (hilit-chg-clear): Use it to preserve the modified-p flag. | |
20009 | (highlight-changes-rotate-faces): Don't mess with the undo-list. | |
20010 | ||
20011 | 2008-02-24 Stefan Monnier <monnier@iro.umontreal.ca> | |
20012 | ||
20013 | * font-lock.el (font-lock-set-defaults): Unset previously set variables | |
20014 | when needed. | |
20015 | ||
20016 | 2008-02-24 Ævar Arnfjörð Bjarmason <avar@cpan.org> (tiny change) | |
20017 | ||
20018 | * net/rcirc.el (rcirc-url-regexp): Replace definition by copying | |
20019 | from gnus-button-url-regexp. | |
20020 | ||
20021 | 2008-02-24 Eli Zaretskii <eliz@gnu.org> | |
20022 | ||
20023 | * progmodes/compile.el (compilation-next-error): Doc fix. | |
20024 | (compilation-find-file): Doc fix. | |
20025 | ||
20026 | 2008-02-24 Glenn Morris <rgm@gnu.org> | |
20027 | ||
20028 | * net/net-utils.el (ipconfig-program, ipconfig-program-options): | |
20029 | Add obsolete aliases to the old names. | |
20030 | ||
20031 | 2008-02-24 Richard Stallman <rms@gnu.org> | |
20032 | ||
20033 | * net/net-utils.el (ifconfig): Rename from ipconfig. | |
20034 | (ipconfig): Alias to ifconfig. | |
20035 | (ifconfig-program): Rename from ipconfig-program. | |
20036 | (ifconfig-program-options): Rename from ipconfig-program-options. | |
20037 | ||
20038 | 2008-02-24 Michael McNamara <mac@mail.brushroad.com> | |
20039 | ||
20040 | * progmodes/verilog-mode.el (verilog-declaration-core-re): | |
20041 | Add port directions by themselves, with no qualification, as base | |
20042 | item of a declaration. | |
20043 | (verilog-pretty-declarations): Add new flag that inhibits printing | |
20044 | to the message buffer. | |
20045 | (verilog-pretty-expr): Add new flag that inhibits printing to the | |
20046 | message buffer. Improve handling of the many types of expression | |
20047 | line up. | |
20048 | (verilog-just-one-space): Don't print an empty message. | |
20049 | (verilog-get-lineup-indent): Rework to support the better handling | |
20050 | of expression lineup for verilog-pretty-expr. | |
20051 | (verilog-auto-wire): Pass the quiet flag to verilog-pretty-expr. | |
20052 | (verilog-mode-version, verilog-mode-release-date): Update. | |
20053 | ||
20054 | 2008-02-24 Stefan Monnier <monnier@iro.umontreal.ca> | |
20055 | ||
20056 | * subr.el (cancel-change-group): Don't move point. | |
20057 | ||
20058 | 2008-02-23 Markus Triska <markus.triska@gmx.at> | |
20059 | ||
20060 | * linum.el (linum-after-config): Update all visible windows. | |
20061 | ||
20062 | 2008-02-23 Glenn Morris <rgm@gnu.org> | |
20063 | ||
20064 | * menu-bar.el (menu-bar-games-menu): Add Bubbles and Pong. | |
20065 | Add :help for Solitaire and Tetris. | |
20066 | ||
20067 | * tree-widget.el (tree-widget-lookup-image): Let-bind `file'. | |
20068 | ||
20069 | * mail/smtpmail.el: Remove leading `*' from defcustom doc-strings. | |
20070 | (smtpmail-code-conv-from): Doc fix. Fix custom type. | |
20071 | (smtpmail-queue-index-file): Make it a defcustom. | |
20072 | (smtpmail-queue-index): Delete. | |
20073 | (smtpmail-send-it, smtpmail-send-queued-mail): | |
20074 | Use smtpmail-queue-index-file and smtpmail-queue-dir rather than | |
20075 | smtpmail-queue-index. | |
20076 | ||
20077 | * net/net-utils.el (iwconfig-program, iwconfig-program-options): | |
20078 | Add :version. | |
20079 | ||
20080 | 2008-02-23 Yoni Rabkin <yoni@rabkins.net> (tiny change) | |
20081 | ||
20082 | * net/net-utils.el (iwconfig-program, iwconfig-program-options): | |
20083 | New variables. | |
20084 | (iwconfig): New function. | |
20085 | ||
20086 | 2008-02-23 Dan Nicolaescu <dann@ics.uci.edu> | |
20087 | ||
20088 | * vc.el (vc-find-revision): Make vc-parent-buffer local before | |
20089 | setting it. | |
20090 | (vc-status-menu-map): Do not define using easy-menu. | |
20091 | (vc-status-menu-map): New defalias. | |
20092 | (vc-status-mode-map): Hook up the menu. | |
20093 | (top-level): Update TODO. | |
20094 | ||
20095 | * vc-hg.el (vc-hg-extra-status-menu): Return a keymap. | |
20096 | ||
20097 | * vc-bzr.el (vc-bzr-init-version): Rename to ... | |
20098 | (vc-bzr-init-revision): ... this. | |
20099 | ||
20100 | 2008-02-23 Jason Rumney <jasonr@gnu.org> | |
20101 | ||
20102 | * makefile.w32-in (WINS_ALMOST): Remove term. | |
20103 | (WINS): Add term here. | |
20104 | (custom-deps, finder-data): Use WINS_ALMOST. | |
20105 | ||
20106 | 2008-02-22 Juanma Barranquero <lekktu@gmail.com> | |
20107 | ||
20108 | * faces.el (font-weight-table): Fix value of `semi-light'. | |
20109 | ||
20110 | 2008-02-22 Stefan Monnier <monnier@iro.umontreal.ca> | |
20111 | ||
20112 | * faces.el (font-weight-table, font-slant-table, font-swidth-table): | |
20113 | Make those tables bijective. | |
20114 | ||
20115 | 2008-02-22 Ken Manheimer <ken.manheimer@gmail.com> | |
20116 | ||
20117 | Finish pdbtrack integration cleanup, settling missing-functions | |
20118 | byte compiler warnings appropriately. | |
20119 | ||
20120 | * progmodes/python.el (python-point): Remove this - beginning-of-line | |
20121 | was all that was necessary for `python-pdbtrack-overlay-arrow'. | |
20122 | (python-end-of-def-or-class, python-beginning-of-def-or-class) | |
20123 | (python-goto-initial-line): Drop these - they were only needed for | |
20124 | python-point. | |
20125 | (python-comint-output-filter-function): Use condition-case and | |
20126 | beginning-of-line directly, instead of python-mode.el functions | |
20127 | which require all sorts of baggage. | |
20128 | (point-safe): Unnecessary - we're using condition-case directly, | |
20129 | instead. | |
20130 | (python-execute-file): Include for python-shell, which I'm leaving | |
20131 | in keeping despite it being unnecessary for pdb tracking. | |
20132 | ||
20133 | 2008-02-22 Peter Danenberg <pcd@wikitex.org> (tiny change) | |
20134 | ||
20135 | * progmodes/scheme.el (scheme-font-lock-keywords-2): | |
20136 | Add SRFI 11 support. | |
20137 | (let-values, let*-values): Specify scheme-indent-function. | |
20138 | ||
20139 | 2008-02-22 Dan Nicolaescu <dann@ics.uci.edu> | |
20140 | ||
20141 | * vc.el (vc-exec-after): Move setting mode-line-process in the | |
20142 | busy case ... | |
20143 | (vc-set-mode-line-busy-indicator): ... in this new function. | |
20144 | (vc-status-refresh): Call vc-set-mode-line-busy-indicator. | |
20145 | (vc-update-vc-status-buffer): Reset mode-line-process. | |
20146 | (vc-status-mark-all-files, vc-status-unmark-all-files): Change to | |
20147 | mark/unmark all the files with the same state as the current one. | |
20148 | With a prefix argument mark/unmark all files. | |
20149 | (vc-status-mode-menu): Adjust strings. | |
20150 | (vc-update-vc-status-buffer): Only do something when the argument | |
20151 | is not nil. | |
20152 | (vc-status-kill-dir-status-process): New function. | |
20153 | (vc-status-mode-map): Bind it. | |
20154 | (vc-status-process-buffer): New variable. | |
20155 | (vc-status-mode): Make it local. | |
20156 | (vc-status-refresh): Set it. | |
20157 | ||
20158 | * vc-hg.el (vc-hg-dir-status): | |
20159 | * vc-git.el (vc-git-dir-status): | |
20160 | * vc-svn.el (vc-svn-dir-status): Return the buffer in which the | |
20161 | command is run. | |
20162 | ||
20163 | 2008-02-22 Glenn Morris <rgm@gnu.org> | |
20164 | ||
20165 | * json.el (top-level): No need to require thingatpt. | |
20166 | (json-read-keyword): Use thing-at-point rather than word-at-point. | |
20167 | ||
20168 | * time.el (top-level): No need to require time-date when compiling. | |
20169 | ||
20170 | * emacs-lisp/copyright.el (copyright-update-year): | |
20171 | Fix subexpression numbering for the case when years are split over | |
20172 | lines, and for the replace case. | |
20173 | ||
20174 | * emulation/tpu-edt.el (tpu-have-ispell): Doc fix. Make obsolete. | |
20175 | (tpu-caar, tpu-cadr): Delete functions. | |
20176 | (zmacs-regions): No need to declare for compiler. | |
20177 | (tpu-goto-breadcrumb): Use cadr rather than tpu-cadr. | |
20178 | (tpu-spell-check): Rewrite, and handle mark after point. | |
20179 | (tpu-special-insert): Use or rather than if. | |
20180 | ||
20181 | * emulation/vip.el (vip-special-prefix-com): Use ispell-region | |
20182 | rather than spell-region. | |
20183 | ||
20184 | * textmodes/spell.el (spell-buffer, spell-word): Suppress compiler | |
20185 | warnings about spell-region. | |
20186 | ||
20187 | 2008-02-22 Kenichi Handa <handa@ni.aist.go.jp> | |
20188 | ||
20189 | * ldefs-boot.el: Regenerated. | |
20190 | ||
20191 | * loadup.el: Don't load language/devanagari, language/kannada, | |
20192 | language/malayalam, and language/tamil. Load language/sinhala. | |
20193 | ||
20194 | * language/indian.el (indian-font-foundry) | |
20195 | (indian-script-language-alist, indian-font-char-index-table) | |
20196 | (indian-font-char, indian-font-char-range, indian-script-table) | |
20197 | (indian-default-script, indian-composable-pattern): Delete them. | |
20198 | ("Devanagari", "Kannada", "Malayalam", "Tamil"): Definitions of | |
20199 | language environments moved to here. | |
20200 | ("Bengali", "Punjabi", "Gujarati", "Oriya", "Telugu"): | |
20201 | New language environments. | |
20202 | ||
20203 | * language/devanagari.el, language/devan-util.el, | |
20204 | * language/kannada.el, language/knd-util.el, language/malayalam.el, | |
20205 | * language/mlm-util.el, language/tamil.el, language/tml-util.el: | |
20206 | Delete them. | |
20207 | ||
20208 | * language/sinhala.el: New file. | |
20209 | ||
20210 | 2008-02-21 Ken Manheimer <ken.manheimer@gmail.com> | |
20211 | ||
20212 | Update Nick Robert's port of pdb tracking from python-mode.el. | |
20213 | ||
20214 | * progmodes/python.el (python-pdbtrack-toggle-stack-tracking): | |
20215 | Clarify docstring. | |
20216 | (python-pdbtrack-minor-mode-string): A sign indicating that pdb | |
20217 | tracking is happening. | |
20218 | (python-pdbtrack-stack-entry-regexp): Better recognize stack traces. | |
20219 | (python-pdbtrack-input-prompt): Better recognize PDB prompts. | |
20220 | (comint-output-filter-functions): Add python-pdbtrack-track-stack-file. | |
20221 | Tracking is plugged in to all comint buffers once python.el is loaded. | |
20222 | (python-pdbtrack-overlay-arrow): Toggle activation of | |
20223 | `python-pdbtrack-minor-mode-string' in addition to the overlay arrow. | |
20224 | (python-pdbtrack-track-stack-file): Use new | |
20225 | `python-pdbtrack-get-source-buffer' for more flexible access to | |
20226 | debugging source files. | |
20227 | (python-pdbtrack-get-source-buffer): Identify debugging target buffer | |
20228 | according to pdb stack trace, optionally using new | |
20229 | `python-pdbtrack-grub-for-buffer' if file is not locally available. | |
20230 | (python-pdbtrack-grub-for-buffer): Find most recent python-mode | |
20231 | named buffer, or having function with indicated name. | |
20232 | (python-shell): Remove comint-output-filter-functions hook | |
20233 | addition, it's being done elsewhere. Wrap long line. | |
20234 | ||
20235 | 2008-02-21 Michael Olson <mwolson@gnu.org> | |
20236 | ||
20237 | * json.el: Replace XEmacs compatibility code to get rid of | |
20238 | compiler warnings. | |
20239 | ||
20240 | * time.el: Fix compiler warning. | |
20241 | ||
20242 | 2008-02-21 Edward O'Connor <ted@oconnor.cx> | |
20243 | ||
20244 | * json.el: New file (JavaScript Object Notation parser / generator). | |
20245 | ||
20246 | 2008-02-21 Dave Love <fx@gnu.org> | |
20247 | ||
20248 | * progmodes/sym-comp.el: New file. | |
20249 | ||
20250 | * progmodes/python.el: Merge from Dave Love's 2008-01-20 version. | |
20251 | Require sym-comp. Add Python buffer to same-window-buffer-names. | |
20252 | Fixup whitespaces. | |
20253 | (python-font-lock-keywords): Add highlighting for Python builtins. | |
20254 | (python-font-lock-syntactic-keywords): Rewrite. | |
20255 | (python-quote-syntax): Use syntax-ppss-context instead of parsing | |
20256 | ppss directly. | |
20257 | (python-mode-map): Add binding for python-find-function. | |
20258 | (python-calculate-indentation): Clean up the logic. | |
20259 | (python-beginning-of-defun): Explicitly set return value. | |
20260 | (python-beginning-of-statement): Stop looping if we get stuck | |
20261 | going backwards. | |
20262 | (python-next-statement): Stop looping if we somehow end up inside | |
20263 | a string while advancing. | |
20264 | (python-preoutput-continuation, python-version-checked): New vars. | |
20265 | (python-check-version): New function. | |
20266 | (run-python): Set default command to python-command instead of | |
20267 | python-python-command. | |
20268 | (run-python): Use python-check-version. Give PYTHONPATH | |
20269 | precedence over data-directory in the process environment. | |
20270 | Load function definitions in python process after. | |
20271 | (python-check-comint-prompt): New function. | |
20272 | (python-send-command, python-send-receive): Use it. | |
20273 | (python-complete-symbol, python-try-complete): Functions deleted. | |
20274 | Use symbol-complete instead of python-complete-symbol throughout. | |
20275 | (python-fill-paragraph): Further refine the fenced-string regexp. | |
20276 | (def-python-skeleton): Expand to the original abbrev instead if in | |
20277 | a comment or string. Tweak skeletons for `if', `while', `for', | |
20278 | `try/except', `try/finally', `name'. | |
20279 | (python-pea-hook, python-abbrev-pc-hook): New functions. | |
20280 | (python-abbrev-syntax-table): New var. | |
20281 | (python-mode): Add python-pea-hook to pre-abbrev-expand-hook. | |
20282 | Use symbol-completion-try-complete for hippie expansion. | |
20283 | Turn on font lock unconditionally. | |
20284 | (python-mode-hook): Defcustom it. No need to use make-local | |
20285 | variable on indent-tabs-mode in "Turn off Indent Tabs mode" | |
20286 | option, since it's buffer-local. | |
20287 | ||
20288 | 2008-02-21 Juanma Barranquero <lekktu@gmail.com> | |
20289 | ||
20290 | * play/hanoi.el (hanoi-internal): Set `show-trailing-whitespace' to nil. | |
20291 | ||
20292 | 2008-02-21 Drew Adams <drew.adams@oracle.com> | |
20293 | ||
20294 | * mouse.el (minor-mode-menu-from-indicator): Create a menu with a | |
20295 | "Turn off" and a "Help" entry when the minor mode has no menu. | |
20296 | ||
20297 | 2008-02-21 Dan Nicolaescu <dann@ics.uci.edu> | |
20298 | ||
20299 | * vc.el (vc-status-mark, vc-status-unmark): New functions. | |
20300 | (vc-status-mode-map, vc-status-mode-menu): Bind them instead of | |
20301 | vc-status-mark-file and vc-status-unmark-file. | |
20302 | (vc-status-mark-unmark): New function. | |
20303 | (vc-status-previous-line, vc-status-next-line): No longer interactive. | |
20304 | ||
20305 | 2008-02-21 Glenn Morris <rgm@gnu.org> | |
20306 | ||
20307 | * composite.el (encode-composition-rule): Fix typo in error message. | |
20308 | (composition-function-table, auto-composition-mode): Doc fixes. | |
20309 | ||
20310 | * subr.el (sit-for): Fix obsolete form for nil second argument. | |
20311 | ||
20312 | * textmodes/spell.el (spell-buffer, spell-word, spell-region) | |
20313 | (spell-string): Make obsolete, in favor of ispell. | |
20314 | ||
20315 | 2008-02-21 Kenichi Handa <handa@ni.aist.go.jp> | |
20316 | ||
20317 | * language/devanagari.el: Don't setup composition-function-table | |
20318 | here. | |
20319 | ("Devanagari"): Change charset, coding-system, coding-priority to | |
20320 | Unicode-based ones. Don't require the feature devan-util. | |
20321 | ||
20322 | * composite.el (compose-chars-after): Fix arguments for a function | |
20323 | in composition-function-table. | |
20324 | (auto-compose-region): Likewise. | |
20325 | ||
20326 | * ps-mule.el (ps-mule-font-info-database-bdf): Use ethio16f-uni.bdf | |
20327 | for Ethiopic. | |
20328 | (ps-mule-plot-string): Ignore glyph-string based compositions. | |
20329 | ||
20330 | 2008-02-21 Stefan Monnier <monnier@iro.umontreal.ca> | |
20331 | ||
20332 | * doc-view.el: Allow different windows to show different pages. | |
20333 | (doc-view-current-page, doc-view-current-slice, doc-view-current-info) | |
20334 | (doc-view-current-image, doc-view-current-overlay): Remove variables, | |
20335 | add them back as macros instead, using image-mode-winprops instead. | |
20336 | Update all users of those variables. | |
20337 | (doc-view-new-window-function): New function to create a new overlay | |
20338 | for each new window. | |
20339 | (doc-view-mode): Use it and image-mode-setup-winprops. | |
20340 | (doc-view-clone-buffer-hook): Rewrite accordingly. | |
20341 | ||
20342 | * image-mode.el: Extend [hv]scroll support to per-window properties. | |
20343 | (image-mode-current-vscroll, image-mode-current-hscroll): Remove. | |
20344 | (image-mode-winprops-alist): New var to replace them. | |
20345 | (image-mode-new-window-functions): New hook. | |
20346 | (image-mode-winprops, image-mode-window-get, image-mode-window-put): | |
20347 | New funs. | |
20348 | (image-set-window-vscroll, image-set-window-hscroll): Use them. | |
20349 | Remove the `window' argument, update callers. | |
20350 | (image-mode-reapply-winprops): Rename image-reset-current-vhscroll. | |
20351 | Use the new functions. | |
20352 | (image-mode-reapply-winprops): New fun. | |
20353 | (image-mode): Use it. | |
20354 | ||
20355 | 2008-02-20 Jay Belanger <jay.p.belanger@gmail.com> | |
20356 | ||
20357 | * calc/calc-math.el (math-sin-raw): Add optional argument | |
20358 | to keep track of original argument. | |
20359 | (math-cos-raw): Use optional argument when calling math-sin-raw. | |
20360 | (math-sin-raw-2, math-cos-raw-2): Check for a zero argument | |
20361 | with close to original precision. | |
20362 | ||
20363 | 2008-02-20 Roland Winkler <Roland.Winkler@physik.uni-erlangen.de> | |
20364 | ||
20365 | * textmodes/bibtex.el (bibtex-convert-alien): Do not use optional | |
20366 | args in calls of sit-for. | |
20367 | ||
20368 | 2008-02-20 Juanma Barranquero <lekktu@gmail.com> | |
20369 | ||
20370 | * vc-svn.el (vc-svn-program): Fix typo in docstring. | |
20371 | (vc-svn-checkin): Fix typo in error message. | |
20372 | ||
20373 | * help-mode.el: Require easymenu when compiling. | |
20374 | ||
20375 | 2008-02-20 Dan Nicolaescu <dann@ics.uci.edu> | |
20376 | ||
20377 | * help-mode.el (help-mode-menu): New menu. | |
20378 | ||
20379 | 2008-02-20 Glenn Morris <rgm@gnu.org> | |
20380 | ||
20381 | * mail/rmail.el (rmail-autodetect): Add .exe extension to movemail | |
20382 | on Windows. | |
20383 | ||
20384 | 2008-02-20 Kenichi Handa <handa@ni.aist.go.jp> | |
20385 | ||
20386 | * ps-mule.el (ps-mule-encode-region): Return a single string. | |
20387 | (ps-mule-plot-string): Adjust for the above change. | |
20388 | (ps-mule-encode-header-string): Likewise. | |
20389 | ||
20390 | * international/latin1-disp.el (latin1-display): Don't use | |
20391 | ucs-mule-8859-to-mule-unicode. Fix the way of resetting | |
20392 | standard-display-table. | |
20393 | (latin1-display-identities): Adjust for the change of what is | |
20394 | returned by (get-language-info charset 'charset). | |
3a37fcea | 20395 | |
e3d51b27 MR |
20396 | * international/mule-util.el (char-displayable-p): Fix for Latin-1 |
20397 | characters and terminal case. | |
20398 | ||
20399 | 2008-02-19 Ken Manheimer <ken.manheimer@gmail.com> | |
20400 | ||
20401 | Minor Adaptions by Nick Roberts <nickrob@snap.net.nz> for Emacs. | |
20402 | ||
20403 | * progmodes/python.el: Also require comint when loading. | |
20404 | (python-mode-map): Bind python-pdbtrack-toggle-stack-tracking. | |
20405 | Replace python-shell with run-python on menu bar. | |
20406 | (python-shell-map): New map. | |
20407 | (python-default-interpreter, python-python-command-args) | |
20408 | (python-jython-command-args, python-pdbtrack-do-tracking-p): | |
20409 | New options. | |
20410 | (python-which-shell, python-which-args, python-which-bufname): | |
20411 | New buffer local variables. | |
20412 | (python-file-queue, python-pdbtrack-is-tracking-p): New variables. | |
20413 | ||
20414 | * progmodes/python.el (python-pdbtrack-stack-entry-regexp) | |
20415 | (python-pdbtrack-input-prompt, python-pdbtrack-track-range): | |
20416 | New constants. | |
20417 | ||
20418 | Pdbtrack features: | |
20419 | ||
20420 | (python-point, python-end-of-def-or-class) | |
20421 | (python-beginning-of-def-or-class, python-goto-initial-line) | |
20422 | (python-comint-output-filter-function) | |
20423 | (python-pdbtrack-overlay-arrow) | |
20424 | (python-pdbtrack-track-stack-file, python-toggle-shells) | |
20425 | (python-shell, python-pdbtrack-toggle-stack-tracking) | |
20426 | (turn-on-pdbtrack, turn-off-pdbtrack, python-sentinel): | |
20427 | New functions. | |
20428 | ||
20429 | 2008-02-19 Katsumi Yamaoka <yamaoka@jpl.org> | |
20430 | ||
20431 | * net/ange-ftp.el (ange-ftp-quote-string): Return the null string | |
20432 | when the argument is nil. | |
20433 | ||
20434 | 2008-02-19 Dan Nicolaescu <dann@ics.uci.edu> | |
20435 | ||
20436 | * vc.el (dir-status): Add a brief description. | |
20437 | ||
20438 | 2008-02-19 Thien-Thi Nguyen <ttn@gnuvola.org> | |
20439 | ||
20440 | * vc-hooks.el (vc-find-root): Take optional arg INVERT. | |
20441 | If non-nil, reverse the sense of the check. | |
20442 | ||
20443 | * vc-rcs.el (vc-rcs-root): New func. | |
20444 | * vc-cvs.el (vc-cvs-root): New func. | |
20445 | * vc-svn.el (vc-svn-root): New func. | |
20446 | * vc-sccs.el (vc-sccs-root): New func. | |
20447 | ||
20448 | 2008-02-18 Kenichi Handa <handa@ni.aist.go.jp> | |
20449 | ||
20450 | * language/japan-util.el (setup-japanese-environment-internal): | |
20451 | Call use-cjk-char-width-table. | |
20452 | ||
20453 | * language/japanese.el ("Japanese"): Set exit-function to | |
20454 | use-default-char-width-table. | |
20455 | ||
20456 | * international/characters.el: Delete occurrences of non-Unicode | |
20457 | tibetan and ethiopic characters. | |
20458 | (cjk-char-width-table): New variable. | |
20459 | (use-cjk-char-width-table, use-default-char-width-table): | |
20460 | New functions. | |
20461 | ||
20462 | 2008-02-19 Dan Nicolaescu <dann@ics.uci.edu> | |
20463 | ||
20464 | * vc.el (vc-status-menu, vc-status-menu-map-filter): New functions. | |
20465 | (vc-status-mode-menu): Add a :filter. | |
20466 | (vc-status-printer): Add faces. | |
20467 | ||
20468 | * vc-hg.el (vc-hg-extra-status-menu): New function. | |
20469 | (vc-hg-dir-status): Clean up the buffer before using it. | |
20470 | ||
20471 | 2008-02-19 Stefan Monnier <monnier@iro.umontreal.ca> | |
20472 | ||
20473 | * progmodes/gdb-ui.el (gdb-output-sink): Define with an invalid value. | |
20474 | (gdb): Initialize it here instead. | |
20475 | ||
20476 | * files.el (locate-dominating-file): Fix thinko in last change. | |
20477 | Reported by Bruce Stephens <bruce.stephens@isode.com>. | |
20478 | ||
20479 | 2008-02-18 Dan Nicolaescu <dann@ics.uci.edu> | |
20480 | ||
20481 | * vc.el (vc-status-mode-menu): New menu for vc-status. | |
20482 | ||
20483 | 2008-02-18 Juanma Barranquero <lekktu@gmail.com> | |
20484 | ||
20485 | * progmodes/verilog-mode.el (customize): Fix typo in error message. | |
20486 | (verilog-mode, verilog-mode-indent, verilog-mode-actions) | |
20487 | (verilog-mode-auto, verilog-indent-level-module) | |
20488 | (verilog-minimum-comment-distance, verilog-library-flags) | |
20489 | (verilog-library-directories, verilog-library-files) | |
20490 | (verilog-auto-reset-widths, verilog-imenu-generic-expression) | |
20491 | (verilog-xemacs-menu, verilog-set-compile-command) | |
20492 | (verilog-set-compile-command, verilog-mode-syntax-table, verilog-mode) | |
20493 | (verilog-get-expr, verilog-strip-comments, verilog-one-line) | |
20494 | (verilog-lint-off, verilog-batch-auto, verilog-batch-delete-auto) | |
20495 | (verilog-batch-inject-auto, verilog-batch-indent) | |
20496 | (verilog-continued-line, verilog-type-keywords) | |
20497 | (verilog-read-sub-decls-sig, verilog-read-sub-decls-line) | |
20498 | (verilog-read-inst-pins, verilog-read-arg-pins) | |
20499 | (verilog-read-auto-template, verilog-read-signals, verilog-getopt-file) | |
20500 | (verilog-add-list-unique, verilog-symbol-detick, verilog-modi-filename) | |
20501 | (verilog-auto-star, verilog-auto-inst, verilog-auto-wire) | |
20502 | (verilog-enum-ascii, verilog-sk-begin, verilog-sk-fork) | |
20503 | (verilog-sk-datadef, verilog-colorize-include-files-buffer) | |
20504 | (verilog-mode-version, verilog-mode-release-date) | |
20505 | (verilog-mode-release-emacs, verilog-linter, verilog-coverage) | |
20506 | (verilog-simulator, verilog-compiler) | |
20507 | (verilog-auto-sense-defines-constant, verilog-company) | |
20508 | (verilog-project, verilog-mark-defun, verilog-submit-bug-report): | |
20509 | Fix typos in docstrings. | |
20510 | (verilog-set-auto-endcomments, verilog-calculate-indent) | |
20511 | (verilog-inject-auto, verilog-auto-arg, verilog-auto-inout-module): | |
20512 | Reflow docstrings. | |
20513 | (verilog-tab-always-indent, verilog-highlight-p1800-keywords) | |
20514 | (verilog-auto-star-save, verilog-auto-inst-vector, verilog-mode-hook) | |
20515 | (electric-verilog-forward-sexp, verilog-in-case-region-p) | |
20516 | (verilog-in-struct-region-p, verilog-in-generate-region-p) | |
20517 | (verilog-leap-to-head, verilog-current-indent-level) | |
20518 | (verilog-case-indent-level, verilog-cpp-keywords) | |
20519 | (verilog-defun-keywords, verilog-block-keywords, verilog-tf-keywords) | |
20520 | (verilog-case-keywords, verilog-separator-keywords, verilog-completion) | |
20521 | (verilog-signals-not-in, verilog-symbol-detick-text) | |
20522 | (verilog-modi-cache-preserve-tick, verilog-modi-cache-preserve-buffer) | |
20523 | (verilog-forward-close-paren, verilog-backward-open-paren) | |
20524 | (verilog-backward-open-bracket): Doc fixes. | |
20525 | ||
20526 | * progmodes/gud.el (gud-def, gud-last-speedbar-stackframe): Doc fixes. | |
20527 | (gud-symbol, gud-expansion-speedbar-buttons, gud-speedbar-buttons) | |
20528 | (gud-gdb-run-command-fetch-lines, gud-dbx-use-stopformat-p) | |
20529 | (gud-jdb-classpath, gud-jdb-find-source-using-classpath, jdb) | |
20530 | (gud-find-class, gdb-script-mode, gud-tooltip-event, gud-tooltip-tips): | |
20531 | Fix typos in docstrings. | |
20532 | ||
20533 | * w32-vars.el (w32-system-shells): Add TCC (new name for 4NT). | |
20534 | ||
20535 | 2008-02-18 Bastien Guerry <Bastien.Guerry@ens.fr> | |
20536 | ||
20537 | * info.el (Info-read-node-name): Removed unused `default' arg. | |
20538 | ||
20539 | 2008-02-18 Thien-Thi Nguyen <ttn@gnuvola.org> | |
20540 | ||
20541 | * vc-git.el (vc-git-after-dir-status, vc-git-dir-status): New funcs. | |
20542 | ||
20543 | 2008-02-18 Stefan Monnier <monnier@iro.umontreal.ca> | |
20544 | ||
20545 | * image-mode.el (image-get-display-property): New fun. | |
20546 | (image-forward-hscroll, image-next-line, image-eol, image-eob) | |
20547 | (image-mode, image-minor-mode, image-toggle-display-text) | |
20548 | (image-toggle-display): Use it. | |
20549 | ||
20550 | 2008-02-18 Jason Rumney <jasonr@gnu.org> | |
20551 | ||
20552 | * international/mule.el (xml-find-file-coding-system): Don't warn | |
20553 | about utf-16 with BOM. | |
20554 | ||
20555 | * nxml/nxml-mode.el (nxml-mode): Don't add a write-contents-hook. | |
20556 | ||
20557 | * international/mule.el (sgml-xml-auto-coding-function): Detect and | |
20558 | warn if file encoding is not utf-8 and encoding not specified. | |
20559 | (xml-find-file-coding-system): New function. | |
20560 | * international/mule-conf.el (file-coding-system-alist): Use it. | |
20561 | ||
20562 | 2008-02-17 Glenn Morris <rgm@gnu.org> | |
20563 | ||
20564 | * international/mule-cmds.el (set-locale-environment): | |
20565 | Pass `frame' to getenv for LC_MESSAGES. | |
20566 | ||
20567 | 2008-02-17 Juri Linkov <juri@jurta.org> | |
20568 | ||
20569 | * time.el (emacs-init-time): Use format instead of format-seconds. | |
20570 | ||
20571 | 2008-02-17 Roland Winkler <Roland.Winkler@physik.uni-erlangen.de> | |
20572 | ||
20573 | * textmodes/bibtex.el (bibtex-search-entry): Rename from | |
20574 | bibtex-find-entry. Add autoload cookie. | |
20575 | (bibtex-find-entry): Alias for bibtex-search-entry. | |
20576 | (bibtex-search-crossref): Rename from bibtex-find-crossref. | |
20577 | (bibtex-find-crossref): Alias for bibtex-search-crossref. | |
20578 | (bibtex-clean-entry): atomic-change-group removed. | |
20579 | (bibtex-format-entry): Use atomic-change-group. Use unwind-protect | |
20580 | to locate buffer location where error occurred. | |
20581 | Make error messages more specific. | |
20582 | (bibtex-parse-keys): Only parse if buffer uses bibtex-mode. | |
20583 | ||
20584 | 2008-02-17 Dan Nicolaescu <dann@ics.uci.edu> | |
20585 | ||
20586 | * progmodes/hideshow.el (hs-minor-mode-map): Move menu creation to | |
20587 | top level. | |
20588 | ||
20589 | * simple.el (transient-mark-mode): Add an :init-value. | |
20590 | ||
20591 | * startup.el (command-line): Use custom-reevaluate-setting for | |
20592 | transient-mark-mode. | |
20593 | ||
20594 | 2008-02-17 Michaël Cadilhac <michael@cadilhac.name> | |
20595 | ||
20596 | * wdired.el (wdired-allow-to-change-permissions): Fix typo. | |
20597 | ||
20598 | 2008-02-16 Juri Linkov <juri@jurta.org> | |
20599 | ||
20600 | * startup.el (after-init-time): New variable. | |
20601 | (command-line): Set `after-init-time' to the current time. | |
20602 | ||
20603 | * time.el (emacs-init-time): New function. | |
20604 | ||
20605 | 2008-02-16 Stefan Monnier <monnier@iro.umontreal.ca> | |
20606 | ||
20607 | * files.el (locate-dominating-file): Remove initial loop because it's | |
20608 | not careful enough. Detect the uid-change all within the main loop. | |
20609 | ||
20610 | 2008-02-16 Lawrence Mitchell <wence@gmx.li> (tiny change) | |
20611 | ||
20612 | * ielm.el (ielm-is-whitespace-or-comment): Docstring fix. | |
20613 | ||
20614 | 2008-02-16 Dan Nicolaescu <dann@ics.uci.edu> | |
20615 | ||
20616 | * vc.el (vc-annotate): Add new argument. | |
20617 | (vc-annotate-warp-revision): Pass the current line to vc-annotate. | |
20618 | ||
20619 | * progmodes/hideshow.el: Remove the minor-mode bookkeeping. | |
20620 | Move make-variable-buffer-local code after the corresponding defvar. | |
20621 | (hs-minor-mode-map): Define and initialize in one step. | |
20622 | (hs-minor-mode): Change from defun to define-minor-mode. | |
20623 | ||
20624 | 2008-02-16 Nick Roberts <nickrob@snap.net.nz> | |
20625 | ||
20626 | * progmodes/gud.el (gud-gdb): Don't reset gdb-ready. | |
20627 | (gdb-ready): Move declaration... | |
20628 | ||
20629 | * progmodes/gdb-ui.el (gdb-ready): ...to here. | |
20630 | (gdb-early-user-input): New variable. | |
20631 | (gdb): Reset gdb-flush-pending-output to nil and set | |
20632 | comint-input-sender here (before gdb-prompt), instead of... | |
20633 | (gdb-init-1): ...here. | |
20634 | (gdb-send): If Emacs is not ready, defer user input to... | |
20635 | (gdb-prompt): ...here. | |
20636 | ||
20637 | 2008-02-16 Glenn Morris <rgm@gnu.org> | |
20638 | ||
20639 | * nxml/test.invalid.xml, nxml/test.valid.xml: Move to etc/nxml. | |
20640 | ||
20641 | * startup.el (emacs-startup-time): Rename to `before-init-time'. | |
20642 | (before-init-time): New name for `emacs-startup-time'. | |
20643 | (command-line): Use before-init-time rather than emacs-startup-time. | |
20644 | * time.el (emacs-uptime): Use before-init-time rather than | |
20645 | emacs-startup-time. | |
20646 | ||
20647 | * composite.el (composition-function-table): Doc fix. | |
20648 | ||
20649 | * calendar/time-date.el (format-seconds): Remove `nonzero' argument | |
20650 | in favor of `%z' specifier. | |
20651 | (emacs-uptime): Move to time.el. | |
20652 | * time.el (emacs-uptime): Move here from time-date.el. Add optional | |
20653 | `format' argument. Doc fix. Use `%z' rather than removed `nonzero' | |
20654 | argument of format-seconds. | |
20655 | ||
20656 | 2008-02-16 Dan Nicolaescu <dann@ics.uci.edu> | |
20657 | ||
20658 | * bindings.el (mode-line-mule-info): Make the tooltips more explicit. | |
20659 | ||
20660 | 2008-02-15 Lawrence Mitchell <wence@gmx.li> (tiny change) | |
20661 | ||
20662 | * ielm.el (ielm-is-whitespace): Remove. | |
20663 | (ielm-is-whitespace-or-comment): New function. | |
20664 | (ielm-eval-input): Use it. | |
20665 | ||
20666 | 2008-02-15 Jason Rumney <jasonr@gnu.org> | |
20667 | ||
20668 | * term/mac-win.el: Fix coding tag. | |
20669 | ||
20670 | 2008-02-15 Dan Nicolaescu <dann@ics.uci.edu> | |
20671 | ||
20672 | * vc-hooks.el (vc-menu-map): | |
20673 | * bindings.el (mode-line-mode-menu): Add tooltips. | |
20674 | ||
20675 | * bindings.el (help-echo): Add more tooltips. Use a less | |
20676 | telegraphic style for existing tooltips. | |
20677 | ||
20678 | 2008-02-14 Stefan Monnier <monnier@iro.umontreal.ca> | |
20679 | ||
20680 | * frame.el (frame-notice-user-settings): Distinguish explicit parent-id | |
20681 | from the auto-generated one. | |
20682 | ||
20683 | 2008-02-14 Glenn Morris <rgm@gnu.org> | |
20684 | ||
20685 | * mail/rmail-spam-filter.el (rmail-spam-filter): | |
20686 | Let-bind message-spam-status. | |
20687 | ||
20688 | * mail/smtpmail.el (password-cache-add): Declare as function. | |
20689 | ||
20690 | 2008-02-14 Justus Piater <Justus-bulk@Piater.name> (tiny change) | |
20691 | ||
20692 | * mail/smtpmail.el: Use password-cache. | |
20693 | ||
20694 | 2008-02-14 Juanma Barranquero <lekktu@gmail.com> | |
20695 | ||
20696 | * uniquify.el (uniquify-buffer-base-name): New function. | |
20697 | Suggested by Stefan Monnier <monnier@iro.umontreal.ca>. | |
20698 | ||
20699 | * desktop.el (uniquify-managed): Don't defvar. | |
20700 | (desktop-buffer-info): Use `uniquify-buffer-base-name', not | |
20701 | `uniquify-managed'. Return also the buffer's base name. | |
20702 | (desktop-save): When saving the buffer info, filter out the base name, | |
20703 | and save it as buffer name if the buffer is managed by uniquify. | |
20704 | (uniquify-item-base): Don't declare; not called anymore. | |
20705 | ||
20706 | 2008-02-14 Dan Nicolaescu <dann@ics.uci.edu> | |
20707 | ||
20708 | * vc.el (vc-annotate-find-revision-at-line): New function. | |
20709 | (vc-annotate-mode-map): Bind it. | |
20710 | (vc-annotate-mode-menu): Add a menu entry for it. Add :help for | |
20711 | some entries. | |
20712 | ||
20713 | 2008-02-14 Glenn Morris <rgm@gnu.org> | |
20714 | ||
20715 | * calendar/time-date.el (format-seconds): New function. | |
20716 | (emacs-uptime): Use format-seconds. | |
20717 | ||
20718 | * Makefile.in (custom-deps, finder-data, autoloads, recompile): | |
20719 | Remove `LC_ALL=C', since it's included in $(emacs) now. | |
20720 | ||
20721 | 2008-02-14 Zhang Wei <id.brep@gmail.com> | |
20722 | ||
20723 | * textmodes/org-publish.el (org-publish-timestamp-filename): | |
20724 | Replace colon characters in filename too. | |
20725 | ||
20726 | 2008-02-13 Bastien Guerry <bzg@altern.org> | |
20727 | ||
20728 | * mail/rmail.el (rmail-header-name): New face. | |
20729 | (rmail-font-lock-keywords): Use rmail-header-name. | |
20730 | ||
20731 | * mail/rmail-spam-filter.el (rsf-definitions-alist): Allow check | |
20732 | against X-Spam-Status header field. | |
20733 | (rmail-spam-filter): Also check X-Spam-Status header field. | |
20734 | ||
20735 | 2008-02-14 Mark A. Hershberger <mah@everybody.org> | |
20736 | ||
20737 | * progmodes/flymake.el (flymake-allowed-file-name-masks): | |
20738 | Add support for .pm files and .php files. | |
20739 | (flymake-err-line-patterns): Add pattern for PHP errors. | |
20740 | (flymake-php-init): New function. PHP support for flymake. | |
20741 | ||
20742 | * progmodes/compile.el (compilation-error-regexp-alist-alist): | |
20743 | Add regular expression for PHP errors. | |
20744 | ||
20745 | 2008-02-13 Michael Albinus <michael.albinus@gmx.de> | |
20746 | ||
20747 | * net/ange-ftp.el (ange-ftp-quote-string): Use `shell-quote-argument'. | |
20748 | This DTRT even on w32 machines. | |
20749 | (ange-ftp-cf1): Quote FILENAME. | |
20750 | ||
20751 | 2008-02-13 Stefan Monnier <monnier@iro.umontreal.ca> | |
20752 | ||
20753 | * smerge-mode.el (smerge-auto-combine-max-separation): New var. | |
20754 | (smerge-auto-combine): New fun. | |
20755 | ||
20756 | 2008-02-12 Juri Linkov <juri@jurta.org> | |
20757 | ||
20758 | * startup.el (fancy-startup-screen, normal-splash-screen): | |
20759 | Set default-directory to command-line-default-directory. | |
20760 | ||
20761 | * desktop.el (after-init-hook): Set inhibit-startup-screen to t | |
20762 | after reading the desktop. | |
20763 | ||
20764 | * progmodes/compile.el (compilation-auto-jump): | |
20765 | Call compile-goto-error only when compilation-auto-jump-to-first-error | |
20766 | is non-nil. | |
20767 | (compilation-scroll-output): Replace :type 'boolean with a choice | |
20768 | that has three options including a third option `first-error'. | |
20769 | Doc fix. | |
20770 | (compilation-start, compilation-forget-errors): Add an alternate | |
20771 | condition comparing compilation-scroll-output with `first-error' | |
20772 | in addition to compilation-auto-jump-to-first-error (to call | |
20773 | compilation-auto-jump in the proper place). | |
20774 | ||
20775 | 2008-02-12 Stefan Monnier <monnier@iro.umontreal.ca> | |
20776 | ||
20777 | * international/mule.el (sgml-html-meta-auto-coding-function): | |
20778 | Make sure the search limit is ahead. | |
20779 | ||
20780 | * tar-mode.el: Fix broken indentation. | |
20781 | (tar-mouse-extract, tar-extract, tar-subfile-save-buffer): | |
20782 | Use with-current-buffer. | |
20783 | ||
20784 | 2008-02-12 Juanma Barranquero <lekktu@gmail.com> | |
20785 | ||
20786 | * hexl.el (hexl-mode): Don't make `font-lock-defaults' buffer-local; | |
20787 | it is already automatically buffer-local. | |
20788 | ||
20789 | 2008-02-12 Drew Adams <drew.adams@oracle.com> | |
20790 | ||
20791 | * help.el (describe-key): Join some split lines to facilitate filling. | |
20792 | * help-fns.el (describe-function-1): Fill text of overlong lines. | |
20793 | ||
20794 | 2008-02-12 Kenichi Handa <handa@ni.aist.go.jp> | |
20795 | ||
20796 | * emacs-lisp/bytecomp.el (byte-compile-lapcode): | |
20797 | Use unibyte-string instead of string-make-unibyte. | |
20798 | ||
20799 | * Makefile.in (AUTOGENEL): Remove charprop.el and uni-*.el. | |
20800 | ||
20801 | 2008-02-12 Stefan Monnier <monnier@iro.umontreal.ca> | |
20802 | ||
20803 | * doc-view.el (doc-view-display): Change file arg to buffer arg, so it | |
20804 | works also for buffers w/o buffer-file-name. Update callers. | |
20805 | (doc-view-clone-buffer-hook): New fun. | |
20806 | (doc-view-mode): Use it for indirect clones. Mark the overlays with | |
20807 | the `doc-view' property so they can be recognized. | |
20808 | ||
20809 | * simple.el (clone-indirect-buffer-hook): New hook. | |
20810 | (clone-indirect-buffer): Run it. | |
20811 | ||
20812 | 2008-02-11 Michael Olson <mwolson@gnu.org> | |
20813 | ||
20814 | * epa-setup.el: | |
20815 | * epg-package-info.el: Files removed. | |
20816 | ||
20817 | 2008-02-11 Daiki Ueno <ueno@unixuser.org> | |
20818 | ||
20819 | * epa.el (epa-menu-mode): Merge into epa-mode. | |
20820 | (epa-menu-items): Rename the label "EasyPG Assistant" to | |
20821 | "Encryption/Decryption". | |
20822 | ||
20823 | * epa-dired.el: Define a new minor-mode epa-dired-mode for dired. | |
20824 | (epa-dired-mode-map): Rename from epa-dired-map. | |
20825 | (epa-global-dired-mode): Rename the global minor mode. | |
20826 | ||
20827 | 2008-02-11 Drew Adams <drew.adams@oracle.com> | |
20828 | ||
20829 | * isearch.el (isearch-fail): New face. | |
20830 | (isearch-message): Highlight failure part of input. | |
20831 | ||
20832 | 2008-02-11 Stefan Monnier <monnier@iro.umontreal.ca> | |
20833 | ||
20834 | * ibuffer.el (ibuffer-header-line-format): New var. | |
20835 | (ibuffer-mode): Set it instead of header-line-format. | |
20836 | (ibuffer-update): Use it to set header-line-format. | |
20837 | ||
20838 | * international/mule-cmds.el (ucs-insert): Inherit surrounding | |
20839 | properties like self-insert-command. | |
20840 | ||
20841 | 2008-02-11 Drew Adams <drew.adams@oracle.com> | |
20842 | ||
20843 | * progmodes/etags.el: Add many doc strings. | |
20844 | ||
20845 | 2008-02-11 Jason Rumney <jasonr@gnu.org> | |
20846 | ||
20847 | * ldefs-boot.el: Regenerated. | |
20848 | ||
20849 | 2008-02-11 Glenn Morris <rgm@gnu.org> | |
20850 | ||
20851 | * progmodes/compile.el (compilation-error-regexp-alist-alist): | |
20852 | Shorten some names. | |
20853 | ||
20854 | * ffap.el (ffap-rfc-directories): Add :version. | |
20855 | ||
20856 | 2008-02-11 Chris Moore <christopher.ian.moore@gmail.com> | |
20857 | ||
20858 | * jka-cmpr-hook.el (jka-compr-compression-info-list): Handle .sifz | |
20859 | files, and backup files of same. | |
20860 | ||
20861 | 2008-02-11 Kevin Ryde <user42@zip.com.au> | |
20862 | ||
20863 | * ffap.el (ffap-rfc-directories): New variable. | |
20864 | (ffap-rfc): Look in those dirs before offering ffap-rfc-path. | |
20865 | ||
20866 | * info.el (Info-next-reference, Info-prev-reference) | |
20867 | (Info-try-follow-nearest-node): Move to and follow https:// links too. | |
20868 | ||
20869 | * simple.el (completion-list-mode): Show full completion-list-mode-map | |
20870 | in the docstring. | |
20871 | ||
20872 | 2008-02-11 Sam Steingold <sds@gnu.org> | |
20873 | ||
20874 | * vc-hooks.el (vc-prefix-key): Remove (undo 2008-02-06 patch). | |
20875 | ||
20876 | 2008-02-11 Glenn Morris <rgm@gnu.org> | |
20877 | ||
20878 | * startup.el (emacs-startup-time): New variable. | |
20879 | (command-line): Set emacs-startup-time. | |
20880 | ||
20881 | * calendar/time-date.el (emacs-uptime): New function. | |
20882 | ||
20883 | 2008-02-10 Bastien Guerry <bzg@altern.org> | |
20884 | ||
20885 | * mail/rmail.el (rmail-nonignored-headers): Allow to be nil. | |
20886 | (rmail-clear-headers): Don't check `rmail-nonignored-headers' when | |
20887 | it is nil. | |
20888 | ||
20889 | 2008-02-10 Daiki Ueno <ueno@unixuser.org> | |
20890 | ||
20891 | * epg-config.el: Expand the contents of epg-package-info.el. | |
20892 | (epg-package-name): New constant. | |
20893 | (epg-version-number): New constant. | |
20894 | (epg-bug-report-address): New constant. | |
20895 | ||
20896 | * epa-mail.el (epa-mail-mode): Add autoload cookie. | |
20897 | (epa-global-mail-mode): New global minor mode. | |
20898 | ||
20899 | * epa-file.el (epa-file-mode): New global minor mode. | |
20900 | ||
20901 | * epa-dired.el (epa-dired-mode): New global minor mode. | |
20902 | ||
20903 | * epa.el (epa-menu): New variable. | |
20904 | (epa-menu-items): New variable. | |
20905 | (epa-menu-mode): New global minor mode. | |
20906 | (epa-global-minor-modes): New user option. | |
20907 | (epa-mode): New global minor mode. | |
20908 | ||
20909 | 2008-02-10 Michael Albinus <michael.albinus@gmx.de> | |
20910 | ||
20911 | * net/dbus.el: Remove `no-byte-compile' cookie. | |
20912 | (dbus-call-method, dbus-register-signal, dbus-debug) | |
20913 | (dbus-registered-functions-table): Declare them with | |
20914 | `declare-function' or `defvar', respectively. | |
20915 | (top): Don't assert any longer. Require 'cl when compiling. | |
20916 | Apply `ignore-errors' but `dbus-ignore-errors'. | |
20917 | ||
20918 | 2008-02-10 Dan Nicolaescu <dann@ics.uci.edu> | |
20919 | ||
20920 | * diff-mode.el (diff-add-change-log-entries-other-window): | |
20921 | Use add-change-log-entry. | |
20922 | ||
20923 | 2008-02-09 Jason Rumney <jasonr@gnu.org> | |
20924 | ||
20925 | * button.el (button-map): | |
20926 | * wid-edit.el (widget-keymap): Avoid line-end confusion in autoloads. | |
20927 | * ldefs-boot.el: Regenerate. | |
20928 | ||
20929 | 2008-02-09 Dan Nicolaescu <dann@ics.uci.edu> | |
20930 | ||
20931 | * epa.el (epa-faces, epa): | |
20932 | * epa-file.el (epa-file): | |
20933 | * epg-config.el (epg): Add :version. | |
20934 | ||
20935 | 2008-02-09 Thien-Thi Nguyen <ttn@gnuvola.org> | |
20936 | ||
20937 | * vc.el (vc-exec-after): Append CODE to previous fragments. | |
20938 | (vc-diff-finish): Take BUFFER directly, not BUFFER-NAME; | |
20939 | take MESSAGES instead of VERBOSE; use it when non-nil. | |
20940 | (vc-diff-internal): Compute messages once; use them; | |
20941 | update call to vc-diff-finish. | |
20942 | ||
20943 | 2008-02-09 Michael Olson <mwolson@gnu.org> | |
20944 | ||
20945 | * net/tramp.el (tramp-process-sentinel): Avoid error when process | |
20946 | buffer has been killed, such as by | |
20947 | `tramp-cleanup-all-connections'. | |
20948 | ||
20949 | 2008-02-09 Miles Bader <miles@gnu.org> | |
20950 | ||
20951 | * net/rcirc.el (rcirc-omit-mode): Suppress invisibility ellipsis. | |
20952 | ||
20953 | 2008-02-08 Stefan Monnier <monnier@iro.umontreal.ca> | |
20954 | ||
20955 | * diff-mode.el (diff-add-change-log-entries-other-window): Avoid the | |
20956 | splitter in context hunks. | |
20957 | ||
20958 | 2008-02-08 Kenichi Handa <handa@ni.aist.go.jp> | |
20959 | ||
20960 | * international/fontset.el (setup-default-fontset): Fix arabic otf | |
20961 | font specification. | |
20962 | ||
20963 | 2008-02-08 Daiki Ueno <ueno@unixuser.org> | |
20964 | ||
20965 | * epa-dired.el: | |
20966 | * epa-file.el: | |
20967 | * epa-mail.el: | |
20968 | * epa-setup.el: | |
20969 | * epa.el: | |
20970 | * epg-config.el: | |
20971 | * epg-package-info.el: | |
20972 | * epg.el: Initial check-in of EasyPG. | |
20973 | ||
20974 | 2008-02-08 Glenn Morris <rgm@gnu.org> | |
20975 | ||
20976 | * woman.el (woman-locale): New defcustom. | |
20977 | (woman-expand-locale, woman-manpath-add-locales): New functions. | |
20978 | (woman-manpath): Call woman-manpath-add-locales. Bump :version. | |
20979 | ||
20980 | * international/mule-cmds.el (locale-translate): New function, | |
20981 | with old code extracted from set-locale-environment. | |
20982 | (set-locale-environment): Use locale-translate. Set woman-locale. | |
20983 | ||
20984 | * cus-start.el (selection-coding-system): Remove, since it's now | |
20985 | defined in select.el rather than in xselect.c. | |
20986 | * select.el (selection-coding-system): Make it a defcustom, and | |
20987 | add the properties from cus-start.el. Bump :version. | |
20988 | ||
20989 | * custom.el (custom-theme-set-variables): Sort symbols that are | |
20990 | dependencies before symbols that depend on them. | |
20991 | (custom-enabled-themes): Set after custom-theme-directory. | |
20992 | ||
20993 | * pcmpl-unix.el (top-level): Move provide statement to end. | |
20994 | (pcmpl-unix-group-file, pcmpl-unix-passwd-file): Remove leading | |
20995 | `*' from doc-string. Allow to be nil. | |
20996 | (pcmpl-ssh-known-hosts-file): Convert comment into expanded doc-string. | |
20997 | Allow to be nil. Add :version. | |
20998 | (pcmpl-ssh-hosts): Move definition before use. Handle lines | |
20999 | without hostnames, and multiple hostnames per line. | |
21000 | ||
21001 | * term/x-win.el (x-handle-parent-id): Remove free variable `parent-id'. | |
21002 | ||
21003 | 2008-02-08 Phil Hagelberg <phil@evri.com> | |
21004 | ||
21005 | * pcmpl-unix.el (pcmpl-ssh-known-hosts-file): New defcustom. | |
21006 | (pcomplete/ssh, pcomplete/scp, pcmpl-ssh-hosts): New functions. | |
21007 | ||
21008 | 2008-02-07 Timo Savola <timo.savola@iki.fi> | |
21009 | ||
21010 | * startup.el (command-line-x-option-alist): Add --parent-id. | |
21011 | ||
21012 | * term/x-win.el (x-handle-parent-id): New function. | |
21013 | ||
21014 | 2008-02-07 Chris Moore <christopher.ian.moore@gmail.com> | |
21015 | ||
21016 | * mouse.el (x-fixed-font-alist): Use consistent capitalization for | |
21017 | "Font Menu". | |
21018 | ||
21019 | 2008-02-06 Michael Albinus <michael.albinus@gmx.de> | |
21020 | ||
21021 | * net/dbus.el (dbus-interface-peer): New defconst. | |
21022 | (dbus-ping): New defun. | |
21023 | ||
21024 | 2008-02-06 Sam Steingold <sds@gnu.org> | |
21025 | ||
21026 | * vc-hooks.el (vc-prefix-key): New user-customizable variable. | |
21027 | ||
21028 | 2008-02-06 Stefan Monnier <monnier@iro.umontreal.ca> | |
21029 | ||
21030 | * net/ange-ftp.el (ange-ftp-unhandled-file-name-directory): | |
21031 | Just return nil and let the C code provide a fallback. | |
21032 | ||
21033 | 2008-02-05 Glenn Morris <rgm@gnu.org> | |
21034 | ||
21035 | * net/tramp-cmds.el (tramp-cleanup-connection): Update calls to | |
21036 | tramp-flush-connection-property for removed 2nd argument. | |
21037 | ||
21038 | 2008-02-05 Stefan Monnier <monnier@iro.umontreal.ca> | |
21039 | ||
21040 | * diff-mode.el (diff-add-change-log-entries-other-window): | |
21041 | Rename from diff-create-changelog. Change users. | |
21042 | Minor change to hopefully work with plain diffs. | |
21043 | (diff-mode-map): Add binding for it. | |
21044 | ||
21045 | 2008-02-04 Dan Nicolaescu <dann@ics.uci.edu> | |
21046 | ||
21047 | * diff-mode.el: Add new TODO entry. | |
21048 | (diff-create-changelog): New function. | |
21049 | (diff-mode-menu): Bind it. | |
21050 | ||
21051 | 2008-02-04 Kenichi Handa <handa@ni.aist.go.jp> | |
21052 | ||
21053 | * international/mule-diag.el (print-fontset-element): Handle the | |
21054 | case of inhibiting the fallback fonts. | |
21055 | ||
21056 | 2008-02-04 Kim F. Storm <storm@cua.dk> | |
21057 | ||
21058 | * ido.el (ido-magic-forward-char, ido-magic-backward-char) | |
21059 | (ido-magic-delete-char): Use prefix arg. | |
21060 | ||
21061 | 2008-02-03 Juanma Barranquero <lekktu@gmail.com> | |
21062 | ||
21063 | * term/w32-win.el (image-library-alist): Prefer libpng12 to libpng13, | |
21064 | because the latter is in fact a 1.2.8 build distributed with GTK+ (as | |
21065 | of today, the most recent libpng is 1.2.24). | |
21066 | ||
21067 | 2008-02-03 Michael Albinus <michael.albinus@gmx.de> | |
21068 | ||
21069 | * ediff-util.el (ediff-compute-custom-diffs-maybe): Handle remote files. | |
21070 | ||
21071 | * net/dbus.el (top): Check (featurep 'dbusbind). | |
21072 | ||
21073 | * net/tramp.el (tramp-process-sentinel): New defun. | |
21074 | (tramp-do-copy-or-rename-file-out-of-band) | |
21075 | (tramp-maybe-open-connection): Use it as process sentinel. | |
21076 | (tramp-handle-delete-directory): Don't use the "-f" option; it | |
21077 | isn't portable. | |
21078 | (tramp-handle-start-file-process): Echo `tramp-end-of-output' | |
21079 | after the command. | |
21080 | (tramp-handle-shell-command): Set `mode-line-process' in the | |
21081 | asynchronous case. | |
21082 | ||
21083 | * net/tramp-cache.el (tramp-flush-connection-property): Remove EVENT. | |
21084 | ||
21085 | * net/tramp-fish.el (tramp-fish-maybe-open-connection): | |
21086 | * net/tramp-smb.el (tramp-smb-maybe-open-connection): | |
21087 | Use `tramp-process-sentinel' as process sentinel. | |
21088 | ||
21089 | 2008-02-02 Juanma Barranquero <lekktu@gmail.com> | |
21090 | ||
21091 | * whitespace.el (global-whitespace-mode): Revert last change. | |
21092 | (whitespace-unload-function): Force `global-whitespace-mode' | |
21093 | to deactivate local modes in a slightly less intrusive way. | |
21094 | ||
21095 | 2008-02-02 Eli Zaretskii <eliz@gnu.org> | |
21096 | ||
21097 | * view.el (kill-buffer-if-not-modified): Add an autoload cookie. | |
21098 | ||
21099 | 2008-02-02 Glenn Morris <rgm@gnu.org> | |
21100 | ||
21101 | * international/latin1-disp.el (latin1-display): | |
21102 | * progmodes/fortran.el (fortran-comment-indent-char): | |
21103 | * progmodes/idlw-shell.el (top-level): | |
21104 | * term/mac-win.el (mac-keyboard-translate-char): | |
21105 | * whitespace.el (whitespace-char-valid-p): | |
21106 | * wid-edit.el (widget-key-sequence-read-event): | |
21107 | Use characterp rather than char-valid-p. | |
21108 | ||
21109 | * progmodes/cap-words.el (capitalized-next-word-boundary): Rename | |
21110 | to capitalized-find-word-boundary. Doc fix. Update callers. | |
21111 | (capitalized-next-word-boundary-function-table): Rename to | |
21112 | capitalized-find-word-boundary-function-table. Doc fix. Update users. | |
21113 | ||
21114 | * ps-bdf.el (bdf-read-font-info): Use string-to-number rather than | |
21115 | string-to-int. | |
21116 | ||
21117 | * ps-def.el (declare-function): Add compatibility definition. | |
21118 | (ps-plot-with-face, ps-plot-string): Declare as functions. | |
21119 | (ps-bold-faces, ps-italic-faces): Declare variables. | |
21120 | ||
21121 | * ps-print.el (ps-mule-initialize, ps-mule-begin-job) | |
21122 | (ps-mule-end-job): Declare as functions. | |
21123 | ||
21124 | * wid-edit.el (widget-string-complete): Use assoc-string rather | |
21125 | than assoc-ignore-case. | |
21126 | ||
21127 | See ChangeLog.13 for earlier changes. | |
21128 | ||
21129 | ;; Local Variables: | |
21130 | ;; coding: utf-8 | |
e3d51b27 MR |
21131 | ;; End: |
21132 | ||
958a2b4c | 21133 | Copyright (C) 2008, 2009 Free Software Foundation, Inc. |
e3d51b27 MR |
21134 | |
21135 | This file is part of GNU Emacs. | |
21136 | ||
21137 | GNU Emacs is free software: you can redistribute it and/or modify | |
21138 | it under the terms of the GNU General Public License as published by | |
21139 | the Free Software Foundation, either version 3 of the License, or | |
21140 | (at your option) any later version. | |
21141 | ||
21142 | GNU Emacs is distributed in the hope that it will be useful, | |
21143 | but WITHOUT ANY WARRANTY; without even the implied warranty of | |
21144 | MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the | |
21145 | GNU General Public License for more details. | |
21146 | ||
21147 | You should have received a copy of the GNU General Public License | |
21148 | along with GNU Emacs. If not, see <http://www.gnu.org/licenses/>. | |
21149 | ||
21150 | ;; arch-tag: c241c1f9-d668-48bf-920a-2897ed0340bc |