Fix infinite loop in expander
authorAndy Wingo <wingo@pobox.com>
Fri, 13 Feb 2015 15:40:46 +0000 (16:40 +0100)
committerAndy Wingo <wingo@pobox.com>
Fri, 13 Feb 2015 15:43:01 +0000 (16:43 +0100)
commit37ae02ffa0d788f59c096cec7a3ac9744d87cf16
tree5b681f7dc1b299d04a02a9c5a7fd1ff42886e1d2
parent1bbf7f75805c10c4d7715853cd7d6c3e8226d5fc
Fix infinite loop in expander

* module/ice-9/psyntax.scm (resolve-identifier): There is a case where a
  syntax object can resolve to itself.  Prevent an infinite loop in that
  case by continuing to resolve by name.

* module/ice-9/psyntax-pp.scm: Regenerate.

* test-suite/tests/syncase.test ("infinite loop bug"): Add a test.
module/ice-9/psyntax-pp.scm
module/ice-9/psyntax.scm
test-suite/tests/syncase.test