turn off both motors when endstop is hit for corexy
authorJim Morris <morris@wolfman.com>
Tue, 6 Aug 2013 11:36:53 +0000 (04:36 -0700)
committerJim Morris <morris@wolfman.com>
Tue, 6 Aug 2013 11:36:53 +0000 (04:36 -0700)
commit3db888666348ee00165e2cfaf767084d2dde25a7
treef4ffb5d6a2f708cb69c4efdfa7462bf3a14d63ea
parent395ecb2cd943c9f8c11d9e0e6fb9494276cdb9a1
turn off both motors when endstop is hit for corexy
src/modules/tools/endstops/Endstops.cpp
src/modules/tools/endstops/Endstops.h