bpt/emacs.git
12 years agoFix bug #10170 with extra scrolling after C-s.
Eli Zaretskii [Fri, 9 Dec 2011 18:40:42 +0000 (20:40 +0200)]
Fix bug #10170 with extra scrolling after C-s.

 src/xdisp.c (try_scrolling): Don't set scroll_down_p if dy is zero.

12 years agoFix for GNUstep build failure during dumping.
YAMAMOTO Mitsuharu [Fri, 9 Dec 2011 12:33:20 +0000 (21:33 +0900)]
Fix for GNUstep build failure during dumping.

* unexelf.c (unexec) [NS_IMPL_GNUSTEP]: Take account of the case
that where the value of an _OBJC_* symbol points to is in the .bss
section (Bug#10240).

12 years agoAdd a bug number to a ChangeLog entry.
Kenichi Handa [Fri, 9 Dec 2011 05:24:26 +0000 (14:24 +0900)]
Add a bug number to a ChangeLog entry.

12 years agoFix typos.
Juanma Barranquero [Fri, 9 Dec 2011 03:12:38 +0000 (04:12 +0100)]
Fix typos.

12 years ago* lisp/emacs-lisp/lisp-mode.el (defmethod): Add doc-string-elt.
Stefan Monnier [Thu, 8 Dec 2011 13:23:43 +0000 (08:23 -0500)]
* lisp/emacs-lisp/lisp-mode.el (defmethod): Add doc-string-elt.

Fixes: debbugs:10244

12 years agoUpdates to Building chapter of Emacs manual.
Chong Yidong [Thu, 8 Dec 2011 13:21:58 +0000 (21:21 +0800)]
Updates to Building chapter of Emacs manual.

* doc/emacs/building.texi (Compilation): Say what the -k flag to make does.
Move subprocess discussion to Compilation Shell.
(Compilation Mode): Add xref for grep, occur, and mouse
references.  Define "locus".
(Grep Searching): Use @command.

* doc/emacs/programs.texi (Symbol Completion): M-TAB can now use Semantic.
(Semantic): Add cindex entries for Semantic.

12 years ago* lisp/pcmpl-gnu.el: Don't fail when there is no Makefile nor -f arg.
Stefan Monnier [Thu, 8 Dec 2011 13:20:20 +0000 (08:20 -0500)]
* lisp/pcmpl-gnu.el: Don't fail when there is no Makefile nor -f arg.
(pcmpl-gnu-makefile-regexps): Accept "makefile" as well as files that
end in ".mk".
(pcmpl-gnu-make-rule-names): Check "makefile" and ignore errors
when reading the makefile.

Fixes: debbugs:10116

12 years agognus-sum.el (gnus-summary-show-article): Don't load shr unconditionally.
Katsumi Yamaoka [Thu, 8 Dec 2011 06:22:03 +0000 (06:22 +0000)]
gnus-sum.el (gnus-summary-show-article): Don't load shr unconditionally.

12 years agocoding.c (encode_coding_ccl): Check (charbuf < charbuf_end) after the loop to call...
Kenichi Handa [Thu, 8 Dec 2011 05:54:20 +0000 (14:54 +0900)]
coding.c (encode_coding_ccl): Check (charbuf < charbuf_end) after the loop to call ccl_driver at least once.

12 years agoftfont.c (get_adstyle_property): Fix previous change (Bug#10233).
Kenichi Handa [Thu, 8 Dec 2011 02:34:09 +0000 (11:34 +0900)]
ftfont.c (get_adstyle_property): Fix previous change (Bug#10233).

12 years agosrc/w32.c: Implement --no-site-lisp on Windows (bug#10208).
Juanma Barranquero [Wed, 7 Dec 2011 23:14:13 +0000 (00:14 +0100)]
src/w32.c: Implement --no-site-lisp on Windows (bug#10208).

12 years agomessage.el (message-pop-to-buffer): Use pop-to-buffer instead of pop-to-buffer-same...
Katsumi Yamaoka [Wed, 7 Dec 2011 06:07:53 +0000 (06:07 +0000)]
message.el (message-pop-to-buffer): Use pop-to-buffer instead of pop-to-buffer-same-window for old Emacsen.

12 years agoSmall init_lread fix for bug#10208
Glenn Morris [Wed, 7 Dec 2011 02:16:53 +0000 (21:16 -0500)]
Small init_lread fix for bug#10208

* src/lread.c (init_lread): If no_site_lisp, exclude site-lisp/ in
installation and source directories as well.

12 years ago* lisp/pcmpl-gnu.el (pcomplete/make): Also allow filename arguments.
Stefan Monnier [Tue, 6 Dec 2011 22:14:32 +0000 (17:14 -0500)]
* lisp/pcmpl-gnu.el (pcomplete/make): Also allow filename arguments.

Fixes: debbugs:10116

12 years ago* src/minibuf.c (Fread_from_minibuffer): Doc fix.
Chong Yidong [Tue, 6 Dec 2011 08:50:46 +0000 (16:50 +0800)]
* src/minibuf.c (Fread_from_minibuffer): Doc fix.

Fixes: debbugs:10228

12 years ago* lisp/emacs-lisp/package.el (package-archives): Doc fix re riskiness.
Glenn Morris [Tue, 6 Dec 2011 08:31:42 +0000 (00:31 -0800)]
* lisp/emacs-lisp/package.el (package-archives): Doc fix re riskiness.

12 years ago* src/process.c (start_process_unwind): Treat any pid <= 0, except -2,
Glenn Morris [Tue, 6 Dec 2011 08:17:35 +0000 (00:17 -0800)]
* src/process.c (start_process_unwind): Treat any pid <= 0, except -2,
as an error, not just -1.
For example, make_process inits the pid to 0.

Fixes: debbugs:10217

12 years agoMore updates to Programs chapter of Emacs manual.
Chong Yidong [Tue, 6 Dec 2011 08:12:18 +0000 (16:12 +0800)]
More updates to Programs chapter of Emacs manual.

* doc/emacs/programs.texi (Man Page): Clarify how to use Man-switches.
Don't bother documenting Man-fontify-manpage-flag.
(Lisp Doc): Add xref to Name Help node.
(Hideshow): Add cindex.  Mention role of ellipses, and default
value of hs-isearch-open.  Don't bother documenting
hs-special-modes-alist.
(Symbol Completion): Add kindex for C-M-i.  Don't recommend
changing the window manager binding of M-TAB.

12 years ago* progmodes/cc-fonts.el (c-annotation-face): Use defface.
Chong Yidong [Tue, 6 Dec 2011 02:22:48 +0000 (10:22 +0800)]
* progmodes/cc-fonts.el (c-annotation-face): Use defface.

12 years agoFix typos.
Juanma Barranquero [Tue, 6 Dec 2011 01:30:54 +0000 (02:30 +0100)]
Fix typos.

12 years ago* lisp/emacs-lisp/assoc.el (aput): Fix return value
Christopher Genovese [Mon, 5 Dec 2011 22:22:15 +0000 (17:22 -0500)]
* lisp/emacs-lisp/assoc.el (aput): Fix return value

Fixes: debbugs:10146

12 years agoFix "C-x =" wrt display of strong RTL characters and directional controls.
Eli Zaretskii [Mon, 5 Dec 2011 17:46:27 +0000 (19:46 +0200)]
Fix "C-x =" wrt display of strong RTL characters and directional controls.

 lisp/descr-text.el (describe-char): Fix display of strong
 right-to-left characters and directional embeddings and overrides.
 lisp/simple.el (what-cursor-position): Fix display of codepoints of
 strong right-to-left characters by appending LRM.

12 years ago* doc/lispref/text.texi (Special Properties): Warn against `intangible' properties.
Stefan Monnier [Mon, 5 Dec 2011 16:50:25 +0000 (11:50 -0500)]
* doc/lispref/text.texi (Special Properties): Warn against `intangible' properties.

Fixes: debbugs:10222

12 years ago* lisp/faces.el (read-color): Minor doc fix.
Chong Yidong [Mon, 5 Dec 2011 15:23:56 +0000 (23:23 +0800)]
* lisp/faces.el (read-color): Minor doc fix.

12 years agoHandle X selection requests in input-pending-p.
Chong Yidong [Mon, 5 Dec 2011 15:21:48 +0000 (23:21 +0800)]
Handle X selection requests in input-pending-p.

* src/keyboard.c (process_special_events): New function.
(swallow_events, Finput_pending_p): Use it.

Fixes: debbugs:10195

12 years agoMore updates to Programs chapter of Emacs manual.
Chong Yidong [Mon, 5 Dec 2011 15:20:13 +0000 (23:20 +0800)]
More updates to Programs chapter of Emacs manual.

* doc/emacs/programs.texi (Comment Commands): Fix description of for M-; on
blank lines.  Move documentation of comment-region here.
(Multi-Line Comments): Clarify the role of comment-multi-line.
Refer to Comment Commands for comment-region doc.
(Options for Comments): Refer to Multi-Line Comments for
comment-multi-line doc, instead of duplicating it.  Fix default
values of comment-padding and comment-start-skip.

12 years agoFix typo.
Juanma Barranquero [Mon, 5 Dec 2011 11:59:28 +0000 (12:59 +0100)]
Fix typo.

12 years agoAuto-commit of loaddefs files.
Glenn Morris [Mon, 5 Dec 2011 11:21:43 +0000 (06:21 -0500)]
Auto-commit of loaddefs files.

12 years ago* coding.c (encode_designation_at_bol): Don't use uninitialized
Paul Eggert [Mon, 5 Dec 2011 09:05:10 +0000 (01:05 -0800)]
* coding.c (encode_designation_at_bol): Don't use uninitialized

local variable (Bug#9318).

12 years agoSpelling fixes.
Paul Eggert [Mon, 5 Dec 2011 08:55:25 +0000 (00:55 -0800)]
Spelling fixes.

12 years agoftfont.c (get_adstyle_property): If the font is not BDF nor PCF, return Qnil (Bug...
Kenichi Handa [Mon, 5 Dec 2011 07:48:29 +0000 (16:48 +0900)]
ftfont.c (get_adstyle_property): If the font is not BDF nor PCF, return Qnil (Bug#8046, Bug#10193).

12 years agomerge trunk
Kenichi Handa [Mon, 5 Dec 2011 07:03:31 +0000 (16:03 +0900)]
merge trunk

12 years agosrc/ChangeLog: Fix previous change.
Kenichi Handa [Mon, 5 Dec 2011 07:02:09 +0000 (16:02 +0900)]
src/ChangeLog: Fix previous change.

12 years agoPay attetion to the buffer relocation on encoding (Bug#9318).
Kenichi Handa [Mon, 5 Dec 2011 06:39:26 +0000 (15:39 +0900)]
Pay attetion to the buffer relocation on encoding (Bug#9318).

12 years agoalign.el marker fix.
Glenn Morris [Mon, 5 Dec 2011 02:47:53 +0000 (18:47 -0800)]
align.el marker fix.

* lisp/align.el (align--set-marker): Add doc-string.
Don't try to move something that is not a marker.
Eg the first call from align-region passes eol == 0.

Fixes: debbugs:10216

12 years agosrc/lisp.h (process_quit_flag): Fix external declaration.
Juanma Barranquero [Mon, 5 Dec 2011 00:15:15 +0000 (01:15 +0100)]
src/lisp.h (process_quit_flag): Fix external declaration.

12 years agoappt.el trivia.
Glenn Morris [Sun, 4 Dec 2011 19:41:44 +0000 (11:41 -0800)]
appt.el trivia.

* lisp/calendar/appt.el (appt-add): Rewrite the interactive-spec to avoid
overly zealous deletion of trailing whitespace.

12 years ago* appt.el: Restore significant whitespace deleted by "spelling fix".
Glenn Morris [Sun, 4 Dec 2011 19:31:41 +0000 (11:31 -0800)]
* appt.el: Restore significant whitespace deleted by "spelling fix".

12 years agoFix emacsclient bug where "-n -c" does not open a new frame on Windows.
Juanma Barranquero [Sun, 4 Dec 2011 17:13:01 +0000 (18:13 +0100)]
Fix emacsclient bug where "-n -c" does not open a new frame on Windows.

* lib-src/emacsclient.c (decode_options) [WINDOWSNT]: Don't force tty = 0;
instead, treat both -c and -t as always requesting a new "tty" frame,
and let server.el decide which kind is actually required.
Reported by Uwe Siart <usenet@siart.de> in this thread:
http://lists.gnu.org/archive/html/emacs-devel/2011-11/msg00303.html

* lisp/server.el (server-delete-client): On Windows, do not try to delete
the only terminal.
(server-process-filter): On Windows, treat requests for a tty frame as
if they were for a GUI frame if the running server is in GUI mode.

12 years agoUpdates to Programs chapter of Emacs manual.
Chong Yidong [Sun, 4 Dec 2011 16:19:57 +0000 (00:19 +0800)]
Updates to Programs chapter of Emacs manual.

* programs.texi (Program Modes): Mention modes that are not
included with Emacs.  Fix references to other manuals for tex.
Add index entry for backward-delete-char-untabify.  Mention
prog-mode-hook.
(Which Function): Use "global minor mode" terminology.
(Basic Indent, Multi-line Indent): Refer to previous descriptions
in Indentation chapter to avoid duplication.
(Expressions): Copyedit.
(Matching): Document Electric Pair mode.

* ack.texi (Acknowledgments):
* rmail.texi (Movemail, Other Mailbox Formats):
* frames.texi (Frames): Don't capitalize "Unix".

12 years agoDon't macro-inline non-performance-critical code.
Stefan Monnier [Sun, 4 Dec 2011 15:46:07 +0000 (10:46 -0500)]
Don't macro-inline non-performance-critical code.
* src/eval.c (process_quit_flag): New function.
* src/lisp.h (QUIT): Use it.

12 years ago* nsfns.m (get_geometry_from_preferences): New function.
Jan Djärv [Sun, 4 Dec 2011 13:25:16 +0000 (14:25 +0100)]
* nsfns.m (get_geometry_from_preferences): New function.
(Fx_create_frame): Call get_geometry_from_preferences.

Fixes: debbugs:10103

12 years agoAuto-commit of loaddefs files.
Glenn Morris [Sun, 4 Dec 2011 11:25:33 +0000 (06:25 -0500)]
Auto-commit of loaddefs files.

12 years agoAuto-commit of generated files.
Glenn Morris [Sun, 4 Dec 2011 11:19:46 +0000 (06:19 -0500)]
Auto-commit of generated files.

12 years agoDon't call Lisp in signal handler
Andreas Schwab [Sun, 4 Dec 2011 09:26:30 +0000 (10:26 +0100)]
Don't call Lisp in signal handler

* emacs.c (Qkill_emacs): Define.
(syms_of_emacs): Initialize it.
* keyboard.c (interrupt_signal): Don't call Fkill_emacs here, set
Qquit_flag to `kill-emacs' instead.
(quit_throw_to_read_char): Add parameter `from_signal'.  All
callers changed.  Call Fkill_emacs if requested and safe.
* lisp.h (QUIT): Call Fkill_emacs if requested.

12 years agoSpelling fixes.
Paul Eggert [Sun, 4 Dec 2011 08:02:42 +0000 (00:02 -0800)]
Spelling fixes.

12 years agoMore updates to Text chapter of Emacs manual.
Chong Yidong [Sun, 4 Dec 2011 05:55:36 +0000 (13:55 +0800)]
More updates to Text chapter of Emacs manual.

* text.texi (Nroff Mode): Mention what nroff is.
(Text Based Tables, Table Recognition): Don't say "Table mode"
since it's not a major or minor mode.
(Text Based Tables): Reduce the size of the example.
(Table Definition): Clarify definitions.
(Table Creation): Add key table.
(Cell Commands): Use kbd for commands.
(Table Rows and Columns): Combine nodes Row Commands and Column
Commands.
(Fixed Width Mode): Node deleted; contents moved to parent.
(Table Conversion): Shorten example.
(Measuring Tables): Merge into Table Misc.

12 years agomessage.el (message-pop-to-buffer): Use pop-to-buffer-same-window for last change.
Chong Yidong [Sun, 4 Dec 2011 02:02:45 +0000 (10:02 +0800)]
message.el (message-pop-to-buffer): Use pop-to-buffer-same-window for last change.

12 years ago* message.el (message-pop-to-buffer): Partially revert 2011-11-30 change (Bug#10200).
Chong Yidong [Sun, 4 Dec 2011 01:55:49 +0000 (09:55 +0800)]
* message.el (message-pop-to-buffer): Partially revert 2011-11-30 change (Bug#10200).

12 years ago* lisp/textmodes/texinfmt.el (batch-texinfo-format): Doc fix.
Glenn Morris [Sat, 3 Dec 2011 20:44:19 +0000 (12:44 -0800)]
* lisp/textmodes/texinfmt.el (batch-texinfo-format): Doc fix.

Fixes: debbugs:10207

12 years ago* INSTALL.BZR: Mention configure -C, --disable-maintainer-mode.
Paul Eggert [Sat, 3 Dec 2011 20:28:21 +0000 (12:28 -0800)]
* INSTALL.BZR: Mention configure -C, --disable-maintainer-mode.

12 years agoFix typo in my most-recent ChangeLog entry.
Paul Eggert [Sat, 3 Dec 2011 20:23:44 +0000 (12:23 -0800)]
Fix typo in my most-recent ChangeLog entry.

12 years agoPropagate configure flags to sub-configures.
Paul Eggert [Sat, 3 Dec 2011 20:19:03 +0000 (12:19 -0800)]
Propagate configure flags to sub-configures.

* Makefile.in (cache_file, ENABLE_MAINTAINER_MODE, CONFIGUREFLAGS):
New macros.
(config.status, bootstrap): Use them to propagate configure flags
to sub-configures.
* configure.in (cache_file): AC_SUBST this, for Makefile.in.

12 years ago* widget.c (update_wm_hints): Return if wmshell is null.
Jan Djärv [Sat, 3 Dec 2011 19:15:20 +0000 (20:15 +0100)]
* widget.c (update_wm_hints): Return if wmshell is null.
(widget_update_wm_size_hints): New function.

* widget.h (widget_update_wm_size_hints): Declare.

* xterm.c (x_wm_set_size_hint): If USE_X_TOOLKIT, call
widget_update_wm_size_hints.

Fixes: debbugs:10104

12 years agoadmin/MAINTAINERS: Update Eli Z.'s responsibilities & interests.
Eli Zaretskii [Sat, 3 Dec 2011 16:25:26 +0000 (18:25 +0200)]
admin/MAINTAINERS: Update Eli Z.'s responsibilities & interests.

12 years agoMore updates to the Text chapter of the Emacs manual, and related nodes.
Chong Yidong [Sat, 3 Dec 2011 16:17:29 +0000 (00:17 +0800)]
More updates to the Text chapter of the Emacs manual, and related nodes.

Make the documentation of Enriched Mode shorter, since it's
practically unused.

* text.texi (TeX Mode): Mention AUCTeX package.
(TeX Editing): Add xref to documentation for Occur.
(LaTeX Editing): Add xref to Completion node.
(TeX Print): Fix description of tex-directory.
(Enriched Text): Renamed from Formatted Text.  Make this node and
its subnodes less verbose, since text/enriched files are
practically unused.
(Enriched Mode): Renamed from Requesting Formatted Text.
(Format Colors): Node deleted.
(Enriched Faces): Renamed from Format Faces.  Describe commands
for applying colors too.
(Forcing Enriched Mode): Node deleted; merged into Enriched Mode.

* frames.texi (Menu Mouse Clicks): Tweak description of C-Mouse-2.

* display.texi (Colors): New node.

* cmdargs.texi (Colors X):
* xresources.texi (GTK styles):
* custom.texi (Face Customization): Reference it.

* glossary.texi (Glossary): Remove "formatted text" and "WYSIWYG".
Link to Fill Commands for Justification entry.

12 years agoMinor doc fixes in doc/emacs/display.texi.
Eli Zaretskii [Sat, 3 Dec 2011 13:32:29 +0000 (15:32 +0200)]
Minor doc fixes in doc/emacs/display.texi.

 doc/emacs/display.texi (Auto Scrolling): More accurate description of what
 scroll-*-aggressively does, including the effect of non-zero
 margin.  Fix "i.e." markup.

12 years agoAuto-commit of loaddefs files.
Glenn Morris [Sat, 3 Dec 2011 11:18:40 +0000 (06:18 -0500)]
Auto-commit of loaddefs files.

12 years agoFix bug #10192 with assertion violation when scrolling.
Eli Zaretskii [Sat, 3 Dec 2011 09:55:27 +0000 (11:55 +0200)]
Fix bug #10192 with assertion violation when scrolling.

 src/xdisp.c (redisplay_window): Don't let `margin' become negative.
 This could happen when scroll-margin is zero and scroll-*-aggressively
 is a small float number.

12 years agoFix parts 1 & 2 of bug #10183 with RTL headings in Org mode.
Eli Zaretskii [Sat, 3 Dec 2011 07:59:23 +0000 (09:59 +0200)]
Fix parts 1 & 2 of bug #10183 with RTL headings in Org mode.

 src/xdisp.c (handle_invisible_prop): If the invisible text ends just
 before a newline, prepare the bidi iterator for consuming the
 newline, and keep the current paragraph direction.

12 years ago* lisp/electric.el: Streamline electric-indent's hook.
Stefan Monnier [Sat, 3 Dec 2011 05:01:41 +0000 (00:01 -0500)]
* lisp/electric.el: Streamline electric-indent's hook.
(electric-indent-chars): Revert to simple list.
(electric-indent-functions): New var.
(electric-indent-post-self-insert-function): Use it.

12 years ago* lisp/progmodes/prolog.el (prolog-find-value-by-system): Avoid error when
Stefan Monnier [Sat, 3 Dec 2011 04:12:11 +0000 (23:12 -0500)]
* lisp/progmodes/prolog.el (prolog-find-value-by-system): Avoid error when
there's no inferior buffer.
(prolog-consult-compile): Don't use toggle-read-only.

Fixes: debbugs:10196

12 years ago* .bzrignore: Add config.cache.
Paul Eggert [Sat, 3 Dec 2011 04:06:45 +0000 (20:06 -0800)]
* .bzrignore: Add config.cache.

12 years agoFix for compilation-tests.el testsuite
Chong Yidong [Sat, 3 Dec 2011 03:04:21 +0000 (11:04 +0800)]
Fix for compilation-tests.el testsuite

* automated/compile-tests.el (compile-tests--test-regexps-data):
Increase column numbers by one to reflect change in how
compilation-message is recorded (Bug#10172).

12 years ago* net/tramp-sh.el (tramp-maybe-open-connection): Handle user
Michael Albinus [Fri, 2 Dec 2011 19:23:19 +0000 (20:23 +0100)]
* net/tramp-sh.el (tramp-maybe-open-connection): Handle user
interrupt.  (Bug#10187)

12 years agoMore updates to Text chapter of Emacs manual.
Chong Yidong [Fri, 2 Dec 2011 16:50:10 +0000 (00:50 +0800)]
More updates to Text chapter of Emacs manual.

* text.texi (Pages): Mention how formfeed chars are displayed.
(Auto Fill): Note convention for calling auto-fill-mode from Lisp.
Describe adaptive filling more precisely.
(Fill Commands): Note that filling removes excess whitespace.
(Text Mode): Note auto-mode-alist entries for Text mode.  TAB is
now bound to indent-for-tab-command in Text mode.
(Outline Mode): Copyedits.
(Outline Visibility): Note that Reveal mode is a buffer-local
minor mode.

* modes.texi (Major Modes): Move note about checking major-mode in
a hook function here, from Text mode.

12 years ago* lisp/pcmpl-gnu.el (pcomplete/tar): large-file-warn-threshold can be nil.
Stefan Monnier [Fri, 2 Dec 2011 14:44:19 +0000 (09:44 -0500)]
* lisp/pcmpl-gnu.el (pcomplete/tar): large-file-warn-threshold can be nil.

Fixes: debbugs:9160

12 years ago* lisp/dired-aux.el (dired-query): Don't assume help-char is modifier-free.
Stefan Monnier [Fri, 2 Dec 2011 14:18:18 +0000 (09:18 -0500)]
* lisp/dired-aux.el (dired-query): Don't assume help-char is modifier-free.

Fixes: debbugs:10191

12 years ago* lisp/info.el (Info-search): Display "end of manual" when Isearch
Juri Linkov [Fri, 2 Dec 2011 10:45:44 +0000 (12:45 +0200)]
* lisp/info.el (Info-search): Display "end of manual" when Isearch
reaches the end of single-file Info manual.

Fixes: debbugs:9918

12 years agoFix commentary for the last commit.
Eli Zaretskii [Fri, 2 Dec 2011 10:37:53 +0000 (12:37 +0200)]
Fix commentary for the last commit.

12 years agoFix part 3 of bug #10183 with input-method prompt in I-search.
Eli Zaretskii [Fri, 2 Dec 2011 10:35:17 +0000 (12:35 +0200)]
Fix part 3 of bug #10183 with input-method prompt in I-search.

 lisp/isearch.el (isearch-message-prefix): Run the input method part
 of the prompt through bidi-string-mark-left-to-right.

12 years agoChange `wordify' to `word-search-regexp'.
Juri Linkov [Fri, 2 Dec 2011 10:19:49 +0000 (12:19 +0200)]
Change `wordify' to `word-search-regexp'.

* lisp/isearch.el (isearch-occur): Use `word-search-regexp' for
`isearch-word'.
(isearch-search-and-update): Add condition for `isearch-word' and
call `word-search-regexp'.

* src/search.c (Fword_search_regexp): New Lisp function created from
`wordify'.  Change type of arg `lax' from `int' to `Lisp_Object'.
(Fword_search_backward, Fword_search_forward)
(Fword_search_backward_lax, Fword_search_forward_lax):
Use `Fword_search_regexp' instead of `wordify'.  Doc fix.
(syms_of_search): Define `Sword_search_regexp'.

Fixes: debbugs:10145

12 years agoGnus: Update the header format of icon data for the most recent icontopbm program.
Katsumi Yamaoka [Fri, 2 Dec 2011 04:00:32 +0000 (04:00 +0000)]
Gnus: Update the header format of icon data for the most recent icontopbm program.

12 years agoFix some em-hist custom types.
Glenn Morris [Thu, 1 Dec 2011 22:34:24 +0000 (17:34 -0500)]
Fix some em-hist custom types.

* lisp/eshell/em-hist.el (eshell-history-file-name, eshell-history-size):
Fix custom type.

12 years agoTweak previous em-hist.el change.
Glenn Morris [Thu, 1 Dec 2011 22:30:12 +0000 (17:30 -0500)]
Tweak previous em-hist.el change.

12 years agoSmall eshell-history-size fix.
Glenn Morris [Thu, 1 Dec 2011 22:28:10 +0000 (17:28 -0500)]
Small eshell-history-size fix.

* lisp/eshell/em-hist.el (eshell-hist-initialize):
Handle eshell-history-size nil and HISTSIZE set or unset.

12 years ago* src/fileio.c (Finsert_file_contents): Move after-change-function call
Stefan Monnier [Thu, 1 Dec 2011 20:19:38 +0000 (15:19 -0500)]
* src/fileio.c (Finsert_file_contents): Move after-change-function call
to before the "handled:" label, since all "goto handled" appear in
cases where the *-change-functions have already been properly called.

Fixes: debbugs:10117

12 years ago* lisp/man.el (Man-completion-table): Fix the lambda case.
Stefan Monnier [Thu, 1 Dec 2011 19:38:24 +0000 (14:38 -0500)]
* lisp/man.el (Man-completion-table): Fix the lambda case.

Fixes: debbugs:10168

12 years agoFixes: debbugs:10169
Andreas Schwab [Thu, 1 Dec 2011 18:27:52 +0000 (19:27 +0100)]
Fixes: debbugs:10169

* src/keyboard.c (interrupt_signal): Don't call kill-emacs when
waiting for input.

12 years agoAuto-commit of loaddefs files.
Glenn Morris [Thu, 1 Dec 2011 11:22:19 +0000 (06:22 -0500)]
Auto-commit of loaddefs files.

12 years agoAuto-commit of generated files.
Glenn Morris [Thu, 1 Dec 2011 11:17:53 +0000 (06:17 -0500)]
Auto-commit of generated files.

12 years agoGnus: Share the value of the buffer-local `message-options' variable between a draft...
Katsumi Yamaoka [Thu, 1 Dec 2011 04:55:39 +0000 (04:55 +0000)]
Gnus: Share the value of the buffer-local `message-options' variable between a draft buffer and temprary working buffers.

12 years agoRelocate ChangeLog entries to correct date.
Glenn Morris [Thu, 1 Dec 2011 04:37:04 +0000 (20:37 -0800)]
Relocate ChangeLog entries to correct date.

12 years agoUpdate verilog-mode.el from master.
Michael McNamara [Thu, 1 Dec 2011 02:41:47 +0000 (19:41 -0700)]
Update verilog-mode.el from master.

* progmodes/verilog-mode.el (verilog-pretty-expr): Rework
verilog-pretty-expr to handle new assignment operators in system
verilog, such as += *= and the like.
(verilog-assignment-operator-re): Regular expression to find the
assigment operator in a verilog assignment.
(verilog-assignment-operation-re): Regular expression to find an
assignment statement for pretty-expr.
(verilog-in-attribute-p): Query returns true if point is in an
attribute context; used to skip these for expression line up from
pretty-expr.
(verilog-in-parameter-p): Query returns true if point is in an
parameter definition context; used to skip these for expression
line up from pretty-expr.
(verilog-in-parenthesis-p): Query returns true if point is in a
parenthetical expression, specifically ( ) but not [ ] or { };
used by pretty-expr.
(verilog-just-one-space): If there is no space, don't add one.
(verilog-get-lineup-indent-2): Specifically skip just attribute
contexts for expression lineup, rather than skipping all
parenthetical expressions.
(verilog-calculate-indent): Fix comment, and fix indent.
(verilog-do-indent): Indent declarations in lists (suggested by
Joachim Lechner).
(verilog-mode-abbrev-table): Populate abbrev mode with the various
skeleton items.
(verilog-sk-ovm-class): Add skeleton for OVM classes (reported
by Alain Mellan).

* verilog-mode.el (verilog-read-defines): Fix reading parameters
with embedded comments.  Reported by Ray Stevens.
(verilog-calc-1, verilog-fork-wait-re) (verilog-forward-sexp,
verilog-wait-fork-re): Fix indentation of "wait fork", bug407.
Reported by Tim Holt.
(verilog-auto): Fix AUTOing a upper module then AUTOing module
instantiated by upper module causing wrong expansion until AUTOed a
second time.  Reported by K C Buckenmaier.
(verilog-diff-auto): Fix showing .* as a difference when
`verilog-auto-star-save' off.  Reported by Dan Dever.
(verilog-auto-reset, verilog-read-always-signals)
(verilog-auto-reset-blocking-in-non): Fix AUTORESET including
temporary signals in reset list if
verilog-auto-reset-blocking-in-non is nil, and match assignment
style to each signal's assignment type, bug381.  Reported by
Thomas Esposito.
(verilog-sk-uvm-class, verilog-uvm-begin-re, verilog-uvm-end-re)
(verilog-uvm-statement-re): Support UVM indentation and
highlighting, with old OVM keywords only.
(verilog-auto-tieoff, verilog-auto-tieoff-declaration): Support
AUTOTIEOFF creating non-wire data types.  Suggested by Jonathan
Greenlaw.
(verilog-auto-insert-lisp, verilog-delete-to-paren)
(verilog-forward-sexp-cmt, verilog-forward-sexp-ign-cmt)
(verilog-inject-sense, verilog-read-inst-pins)
(verilog-read-sub-decls, verilog-read-sub-decls-line): Fix
mismatching parenthesis inside commented out code when deleting
AUTOINST, bug383. Reported by Jonathan Greenlaw.
(verilog-auto-ascii-enum): Fix AUTOASCIIENUM one-hot with
non-numeric vector width.  Reported by Alex Reed.
(verilog-auto-ascii-enum): Add "onehot" option to work around not
detecting signals with parameter widths. Reported by Alex Reed.
(verilog-auto-delete-trailing-whitespace): With
`verilog-auto-delete-trailing-whitespace' remove trailing
whitespace in auto expansion, bug371.  Reported by Brad Dobbie.
(verilog-run-hooks, verilog-scan-cache-flush, verilog-syntax-ppss):
Fix verilog-scan-cache corruption when running user AUTO expansion
hooks that call indentation routines.
(verilog-simplify-range-expression): Fix typo ignoring lower case
identifiers.
(verilog-delete-auto): Fix delete-autos to also remove user created
automatics, as long as they start with AUTO.
(verilog-batch-diff-auto, verilog-diff-auto)
(verilog-diff-function): Add `verilog-diff-auto' and bind to
"C-c?"  to report differences in AUTO expansion, ignoring spaces.
(verilog-backward-syntactic-ws-quick, verilog-beg-of-defun-quick)
(verilog-in-paren-quick, verilog-re-search-backward-quick)
(verilog-re-search-forward-quick, verilog-syntax-ppss): Fix
calling `syntax-ppss' when inside auto expansions as the ppss hook
is disabled and its cache will get corrupt, causing AUTOS not to
expand.  Instead use only -quick functions.
(verilog-scan-region): Fix scanning over escaped quotes.
(verilog-inside-comment-or-string-p, verilog-inside-comment-p)
(verilog-re-search-backward-quick)
(verilog-re-search-forward-quick, verilog-scan): verilog-scan and
related functions now ignore strings, to fix misparsing of strings
with magic comments embedded in them.
(verilog-read-auto-template): Fix
'verilog-auto-inst-template-numbers' with extra newline before (.
Reported by Brad Dobbie.
(verilog-read-auto-template): Fix
'verilog-auto-inst-template-numbers' with comments.  Reported by
Brad Dobbie.
(verilog-auto-inst, verilog-auto-inst-param)
(verilog-auto-inst-sort): Add 'verilog-auto-inst-sort' to reduce
merge conflicts with AUTOINST, bug358.  Reported by Brad Dobbie.
(verilog-auto-inst-template-numbers): Add 'lhs' policy for
debugging templates without merge conflicts, bug357.  Reported by
Brad Dobbie.
(verilog-read-auto-template): Fix
verilog-auto-inst-template-numbers with multiple templates.
Reported by Brad Dobbie.
(verilog-define-abbrev): Fix verilog-mode abbrevs to be system
abbrevs so user won't be asked to save.
(verilog-read-auto-lisp-present): Fix to start at beginning of
buffer in case called outside of verilog-auto.
(verilog-simplify-range-expression): Fix AUTOWIRE expanding "X-1+1"
to "X-2".  Reported by Matthew Myers.
(verilog-auto, verilog-auto-inout-in): Add AUTOINOUTIN for creating
all inputs from module templates.  Reported by Leith Johnson.
(verilog-module-inside-filename-p): Fix locating programs as with
modules.
(verilog-auto-inst-port): Fix vl-width expressions when using
verilog-auto-inst-param-value, bug331.  Reported by Julian Gorfajn.
(verilog-decls-get-regs, verilog-decls-get-signals,
verilog-decls-get-vars, verilog-decls-get-wires, verilog-decls-new,
verilog-modi-cache-add-vars, verilog-modi-cache-add-wires,
verilog-read-decls): Combine reg and wire structures into one var
structure to represent SystemVerilog concepts.
(verilog-auto-ascii-enum, verilog-auto-logic, verilog-auto-reg)
(verilog-auto-reg-input, verilog-auto-tieoff, verilog-auto-wire)
(verilog-auto-wire-type, verilog-insert-definition): Add
verilog-auto-wire-type and AUTOLOGIC to support using
SystemVerilog "logic" keyword instead of "wire"/"reg".
(verilog-auto-reg-input, verilog-decls-get-signals): Fix AUTOWIRE
to declares outputs that also have assignments (presumably in an
ifdef or generate if so there's not a driver conflict).  Reported
by Matthew Myers.
(verilog-auto-declare-nettype, verilog-insert-definition): Add
verilog-auto-declare-nettype to fix declarations using
`default_nettype none.  Reported by Julian Gorfajn.
(verilog-read-always-signals-recurse, verilog-read-decls)
(verilog-read-sub-decls-gate): Fix infinite loop with (*) and
malformed end statement, bug325.  Reported by Joshua Wise and
Andrew Drake.
(verilog-auto-star-safe, verilog-delete-auto-star-implicit)
(verilog-inst-comment-re): Fix not deleting Interfaced comment
when expanding .* in interfaces, bug320.  Reported by Pierre-David
Pfister.
(verilog-read-module-name): Fix import statements between module
name and open parenthesis, bug317.  Reported by Pierre-David
Pfister.
(verilog-simplify-range-expression): Fix simplification of
multiplications inside AUTOWIRE connections, bug303.
(verilog-auto-inst-port): Support parameter expansion in
multidimensional arrays.
(verilog-read-decls): Fix AUTOREG etc looking for "endproperty"
after "assert property".  Reported by Julian Gorfajn.
(verilog-simplify-range-expression): Fix "couldn't merge" errors
with multiplication, bug303.
(verilog-read-decls): Fix parsing of unsigned data types, bug302.

12 years agoRegenerate ldefs-boot.el
Chong Yidong [Wed, 30 Nov 2011 17:38:41 +0000 (01:38 +0800)]
Regenerate ldefs-boot.el

12 years agoREALLY fix bug #10164 with crashes when linum-mode is turned on.
Eli Zaretskii [Wed, 30 Nov 2011 16:50:38 +0000 (18:50 +0200)]
REALLY fix bug #10164 with crashes when linum-mode is turned on.

 src/dispnew.c (adjust_glyph_matrix): Remove the assertion that
 verifies glyph row's hash code--we have just reallocated the
 glyphs, so their contents can be complete garbage.

12 years agoFix typos.
Juanma Barranquero [Wed, 30 Nov 2011 16:33:05 +0000 (17:33 +0100)]
Fix typos.

12 years agoBump version to 24.0.92.
Chong Yidong [Wed, 30 Nov 2011 16:23:05 +0000 (00:23 +0800)]
Bump version to 24.0.92.
Regenerate AUTHORS and ldefs-boot.el.

12 years agoFix typo in comment.
Chong Yidong [Wed, 30 Nov 2011 15:43:33 +0000 (23:43 +0800)]
Fix typo in comment.

12 years ago* lisp/gnus/message.el (message-pop-to-buffer): Revert 2011-09-11 change.
Stefan Monnier [Wed, 30 Nov 2011 14:52:08 +0000 (09:52 -0500)]
* lisp/gnus/message.el (message-pop-to-buffer): Revert 2011-09-11 change.

12 years agosrc/dispnew.c (adjust_glyph_matrix) [XASSERTS]: Add missing check.
Juanma Barranquero [Wed, 30 Nov 2011 12:58:42 +0000 (13:58 +0100)]
src/dispnew.c (adjust_glyph_matrix) [XASSERTS]: Add missing check.

12 years agoFix bug #10164 with assertion violations in linum-mode.
Eli Zaretskii [Wed, 30 Nov 2011 12:25:09 +0000 (07:25 -0500)]
Fix bug #10164 with assertion violations in linum-mode.

 src/dispnew.c (adjust_glyph_matrix) [XASSERTS]: Ensure ROW's
 attributes are tested _before_ calling verify_row_hash, to protect
 against GCC re-ordering of the tests.

12 years ago* emacsclient.c (main): Condition last change on WINDOWSNT (Bug#10155).
Chong Yidong [Wed, 30 Nov 2011 08:13:05 +0000 (16:13 +0800)]
* emacsclient.c (main): Condition last change on WINDOWSNT (Bug#10155).

12 years agognus-art.el (gnus-article-browse-html-parts): Fix previous commit.
Katsumi Yamaoka [Wed, 30 Nov 2011 06:05:47 +0000 (06:05 +0000)]
gnus-art.el (gnus-article-browse-html-parts): Fix previous commit.

12 years agoFix gdb-mi.el bug#9853, bug#9858, and bug#9878.
Ken Brown [Wed, 30 Nov 2011 03:18:33 +0000 (22:18 -0500)]
Fix gdb-mi.el bug#9853, bug#9858, and bug#9878.

* lisp/progmodes/gdb-mi.el (gdb-version): Remove defvar.
(gdb-supports-non-stop): New defvar, replacing `gdb-version'.
(gdb-gud-context-command, gdb-non-stop-handler)
(gdb-current-context-command, gdb-stopped): Use it.
(gdb-init-1): Enable pretty printing here.
(gdb-non-stop-handler): Don't enable pretty-printing here.  Check
to see if the target supports non-stop mode; if not, turn off
non-stop mode.  Use the following.
(gdb-check-target-async): New defun.
(gud-watch, gdb-stopped): Fix whitespace.
(gdb-get-source-file): Don't try to display the source file if
`gdb-main-file' is nil.

12 years agognus-art.el (gnus-article-browse-html-parts): Convert link file names for Cygwin.
Katsumi Yamaoka [Wed, 30 Nov 2011 01:07:46 +0000 (01:07 +0000)]
gnus-art.el (gnus-article-browse-html-parts): Convert link file names for Cygwin.

12 years ago* lisp/align.el: Try to generate fewer markers.
Stefan Monnier [Tue, 29 Nov 2011 20:21:28 +0000 (15:21 -0500)]
* lisp/align.el: Try to generate fewer markers.
(align--set-marker): New macro.
(align-region): Use it.

Fixes: debbugs:10047

12 years agoUpdate verilog-mode.el from master.
Wilson Snyder [Tue, 29 Nov 2011 20:11:23 +0000 (13:11 -0700)]
Update verilog-mode.el from master.

* progmodes/verilog-mode.el (verilog-pretty-expr): Rework
verilog-pretty-expr to handle new assignment operators in system
verilog, such as += *= and the like.
(verilog-assignment-operator-re): Regular expression to find the
assigment operator in a verilog assignment.
(verilog-assignment-operation-re): Regular expression to find an
assignment statement for pretty-expr.
(verilog-in-attribute-p): Query returns true if point is in an
attribute context; used to skip these for expression line up from
pretty-expr.
(verilog-in-parameter-p): Query returns true if point is in an
parameter definition context; used to skip these for expression
line up from pretty-expr.
(verilog-in-parenthesis-p): Query returns true if point is in a
parenthetical expression, specifically ( ) but not [ ] or { };
used by pretty-expr.
(verilog-just-one-space): If there is no space, don't add one.
(verilog-get-lineup-indent-2): Specifically skip just attribute
contexts for expression lineup, rather than skipping all
parenthetical expressions.
(verilog-calculate-indent): Fix comment, and fix indent.
(verilog-do-indent): Indent declarations in lists (suggested by
Joachim Lechner).
(verilog-mode-abbrev-table): Populate abbrev mode with the various
skeleton items.
(verilog-sk-ovm-class): Add skeleton for OVM classes (reported
by Alain Mellan).

29  Wilson Snyder  <wsnyder@wsnyder.org>

* verilog-mode.el (verilog-read-defines): Fix reading parameters
with embedded comments.  Reported by Ray Stevens.
(verilog-calc-1, verilog-fork-wait-re) (verilog-forward-sexp,
verilog-wait-fork-re): Fix indentation of "wait fork", bug407.
Reported by Tim Holt.
(verilog-auto): Fix AUTOing a upper module then AUTOing module
instantiated by upper module causing wrong expansion until AUTOed a
second time.  Reported by K C Buckenmaier.
(verilog-diff-auto): Fix showing .* as a difference when
`verilog-auto-star-save' off.  Reported by Dan Dever.
(verilog-auto-reset, verilog-read-always-signals)
(verilog-auto-reset-blocking-in-non): Fix AUTORESET including
temporary signals in reset list if
verilog-auto-reset-blocking-in-non is nil, and match assignment
style to each signal's assignment type, bug381.  Reported by
Thomas Esposito.
(verilog-sk-uvm-class, verilog-uvm-begin-re, verilog-uvm-end-re)
(verilog-uvm-statement-re): Support UVM indentation and
highlighting, with old OVM keywords only.
(verilog-auto-tieoff, verilog-auto-tieoff-declaration): Support
AUTOTIEOFF creating non-wire data types.  Suggested by Jonathan
Greenlaw.
(verilog-auto-insert-lisp, verilog-delete-to-paren)
(verilog-forward-sexp-cmt, verilog-forward-sexp-ign-cmt)
(verilog-inject-sense, verilog-read-inst-pins)
(verilog-read-sub-decls, verilog-read-sub-decls-line): Fix
mismatching parenthesis inside commented out code when deleting
AUTOINST, bug383. Reported by Jonathan Greenlaw.
(verilog-auto-ascii-enum): Fix AUTOASCIIENUM one-hot with
non-numeric vector width.  Reported by Alex Reed.
(verilog-auto-ascii-enum): Add "onehot" option to work around not
detecting signals with parameter widths. Reported by Alex Reed.
(verilog-auto-delete-trailing-whitespace): With
`verilog-auto-delete-trailing-whitespace' remove trailing
whitespace in auto expansion, bug371.  Reported by Brad Dobbie.
(verilog-run-hooks, verilog-scan-cache-flush, verilog-syntax-ppss):
Fix verilog-scan-cache corruption when running user AUTO expansion
hooks that call indentation routines.
(verilog-simplify-range-expression): Fix typo ignoring lower case
identifiers.
(verilog-delete-auto): Fix delete-autos to also remove user created
automatics, as long as they start with AUTO.
(verilog-batch-diff-auto, verilog-diff-auto)
(verilog-diff-function): Add `verilog-diff-auto' and bind to
"C-c?"  to report differences in AUTO expansion, ignoring spaces.
(verilog-backward-syntactic-ws-quick, verilog-beg-of-defun-quick)
(verilog-in-paren-quick, verilog-re-search-backward-quick)
(verilog-re-search-forward-quick, verilog-syntax-ppss): Fix
calling `syntax-ppss' when inside auto expansions as the ppss hook
is disabled and its cache will get corrupt, causing AUTOS not to
expand.  Instead use only -quick functions.
(verilog-scan-region): Fix scanning over escaped quotes.
(verilog-inside-comment-or-string-p, verilog-inside-comment-p)
(verilog-re-search-backward-quick)
(verilog-re-search-forward-quick, verilog-scan): verilog-scan and
related functions now ignore strings, to fix misparsing of strings
with magic comments embedded in them.
(verilog-read-auto-template): Fix
'verilog-auto-inst-template-numbers' with extra newline before (.
Reported by Brad Dobbie.
(verilog-read-auto-template): Fix
'verilog-auto-inst-template-numbers' with comments.  Reported by
Brad Dobbie.
(verilog-auto-inst, verilog-auto-inst-param)
(verilog-auto-inst-sort): Add 'verilog-auto-inst-sort' to reduce
merge conflicts with AUTOINST, bug358.  Reported by Brad Dobbie.
(verilog-auto-inst-template-numbers): Add 'lhs' policy for
debugging templates without merge conflicts, bug357.  Reported by
Brad Dobbie.
(verilog-read-auto-template): Fix
verilog-auto-inst-template-numbers with multiple templates.
Reported by Brad Dobbie.
(verilog-define-abbrev): Fix verilog-mode abbrevs to be system
abbrevs so user won't be asked to save.
(verilog-read-auto-lisp-present): Fix to start at beginning of
buffer in case called outside of verilog-auto.
(verilog-simplify-range-expression): Fix AUTOWIRE expanding "X-1+1"
to "X-2".  Reported by Matthew Myers.
(verilog-auto, verilog-auto-inout-in): Add AUTOINOUTIN for creating
all inputs from module templates.  Reported by Leith Johnson.
(verilog-module-inside-filename-p): Fix locating programs as with
modules.
(verilog-auto-inst-port): Fix vl-width expressions when using
verilog-auto-inst-param-value, bug331.  Reported by Julian Gorfajn.
(verilog-decls-get-regs, verilog-decls-get-signals,
verilog-decls-get-vars, verilog-decls-get-wires, verilog-decls-new,
verilog-modi-cache-add-vars, verilog-modi-cache-add-wires,
verilog-read-decls): Combine reg and wire structures into one var
structure to represent SystemVerilog concepts.
(verilog-auto-ascii-enum, verilog-auto-logic, verilog-auto-reg)
(verilog-auto-reg-input, verilog-auto-tieoff, verilog-auto-wire)
(verilog-auto-wire-type, verilog-insert-definition): Add
verilog-auto-wire-type and AUTOLOGIC to support using
SystemVerilog "logic" keyword instead of "wire"/"reg".
(verilog-auto-reg-input, verilog-decls-get-signals): Fix AUTOWIRE
to declares outputs that also have assignments (presumably in an
ifdef or generate if so there's not a driver conflict).  Reported
by Matthew Myers.
(verilog-auto-declare-nettype, verilog-insert-definition): Add
verilog-auto-declare-nettype to fix declarations using
`default_nettype none.  Reported by Julian Gorfajn.
(verilog-read-always-signals-recurse, verilog-read-decls)
(verilog-read-sub-decls-gate): Fix infinite loop with (*) and
malformed end statement, bug325.  Reported by Joshua Wise and
Andrew Drake.
(verilog-auto-star-safe, verilog-delete-auto-star-implicit)
(verilog-inst-comment-re): Fix not deleting Interfaced comment
when expanding .* in interfaces, bug320.  Reported by Pierre-David
Pfister.
(verilog-read-module-name): Fix import statements between module
name and open parenthesis, bug317.  Reported by Pierre-David
Pfister.
(verilog-simplify-range-expression): Fix simplification of
multiplications inside AUTOWIRE connections, bug303.
(verilog-auto-inst-port): Support parameter expansion in
multidimensional arrays.
(verilog-read-decls): Fix AUTOREG etc looking for "endproperty"
after "assert property".  Reported by Julian Gorfajn.
(verilog-simplify-range-expression): Fix "couldn't merge" errors
with multiplication, bug303.
(verilog-read-decls): Fix parsing of unsigned data types, bug302.
Reported by Jan Frode Lonnum.

12 years ago* lisp/isearch.el (isearch-yank-x-selection): Deactivate mark.
Stefan Monnier [Tue, 29 Nov 2011 18:39:16 +0000 (13:39 -0500)]
* lisp/isearch.el (isearch-yank-x-selection): Deactivate mark.

Fixes: debbugs:10022

12 years ago* xterm.c (handle_one_xevent): Only set async_visible and friends
Jan Djärv [Tue, 29 Nov 2011 18:08:53 +0000 (19:08 +0100)]
* xterm.c (handle_one_xevent): Only set async_visible and friends
if net_wm_state_hidden_seen is non-zero
(get_current_wm_state):  Set net_wm_state_hidden_seen to 1 if
_NET_WM_STATE_HIDDEN is in NET_WM_STATE.

* xterm.h (struct x_output): net_wm_state_hidden_seen is new.

Fixes: debbugs:10002