bpt/emacs.git
12 years ago* src/fileio.c (Finsert_file_contents): Move after-change-function call
Stefan Monnier [Thu, 1 Dec 2011 20:19:38 +0000 (15:19 -0500)]
* src/fileio.c (Finsert_file_contents): Move after-change-function call
to before the "handled:" label, since all "goto handled" appear in
cases where the *-change-functions have already been properly called.

Fixes: debbugs:10117

12 years ago* lisp/man.el (Man-completion-table): Fix the lambda case.
Stefan Monnier [Thu, 1 Dec 2011 19:38:24 +0000 (14:38 -0500)]
* lisp/man.el (Man-completion-table): Fix the lambda case.

Fixes: debbugs:10168

12 years agoFixes: debbugs:10169
Andreas Schwab [Thu, 1 Dec 2011 18:27:52 +0000 (19:27 +0100)]
Fixes: debbugs:10169

* src/keyboard.c (interrupt_signal): Don't call kill-emacs when
waiting for input.

12 years agoAuto-commit of loaddefs files.
Glenn Morris [Thu, 1 Dec 2011 11:22:19 +0000 (06:22 -0500)]
Auto-commit of loaddefs files.

12 years agoAuto-commit of generated files.
Glenn Morris [Thu, 1 Dec 2011 11:17:53 +0000 (06:17 -0500)]
Auto-commit of generated files.

12 years agoGnus: Share the value of the buffer-local `message-options' variable between a draft...
Katsumi Yamaoka [Thu, 1 Dec 2011 04:55:39 +0000 (04:55 +0000)]
Gnus: Share the value of the buffer-local `message-options' variable between a draft buffer and temprary working buffers.

12 years agoRelocate ChangeLog entries to correct date.
Glenn Morris [Thu, 1 Dec 2011 04:37:04 +0000 (20:37 -0800)]
Relocate ChangeLog entries to correct date.

12 years agoUpdate verilog-mode.el from master.
Michael McNamara [Thu, 1 Dec 2011 02:41:47 +0000 (19:41 -0700)]
Update verilog-mode.el from master.

* progmodes/verilog-mode.el (verilog-pretty-expr): Rework
verilog-pretty-expr to handle new assignment operators in system
verilog, such as += *= and the like.
(verilog-assignment-operator-re): Regular expression to find the
assigment operator in a verilog assignment.
(verilog-assignment-operation-re): Regular expression to find an
assignment statement for pretty-expr.
(verilog-in-attribute-p): Query returns true if point is in an
attribute context; used to skip these for expression line up from
pretty-expr.
(verilog-in-parameter-p): Query returns true if point is in an
parameter definition context; used to skip these for expression
line up from pretty-expr.
(verilog-in-parenthesis-p): Query returns true if point is in a
parenthetical expression, specifically ( ) but not [ ] or { };
used by pretty-expr.
(verilog-just-one-space): If there is no space, don't add one.
(verilog-get-lineup-indent-2): Specifically skip just attribute
contexts for expression lineup, rather than skipping all
parenthetical expressions.
(verilog-calculate-indent): Fix comment, and fix indent.
(verilog-do-indent): Indent declarations in lists (suggested by
Joachim Lechner).
(verilog-mode-abbrev-table): Populate abbrev mode with the various
skeleton items.
(verilog-sk-ovm-class): Add skeleton for OVM classes (reported
by Alain Mellan).

* verilog-mode.el (verilog-read-defines): Fix reading parameters
with embedded comments.  Reported by Ray Stevens.
(verilog-calc-1, verilog-fork-wait-re) (verilog-forward-sexp,
verilog-wait-fork-re): Fix indentation of "wait fork", bug407.
Reported by Tim Holt.
(verilog-auto): Fix AUTOing a upper module then AUTOing module
instantiated by upper module causing wrong expansion until AUTOed a
second time.  Reported by K C Buckenmaier.
(verilog-diff-auto): Fix showing .* as a difference when
`verilog-auto-star-save' off.  Reported by Dan Dever.
(verilog-auto-reset, verilog-read-always-signals)
(verilog-auto-reset-blocking-in-non): Fix AUTORESET including
temporary signals in reset list if
verilog-auto-reset-blocking-in-non is nil, and match assignment
style to each signal's assignment type, bug381.  Reported by
Thomas Esposito.
(verilog-sk-uvm-class, verilog-uvm-begin-re, verilog-uvm-end-re)
(verilog-uvm-statement-re): Support UVM indentation and
highlighting, with old OVM keywords only.
(verilog-auto-tieoff, verilog-auto-tieoff-declaration): Support
AUTOTIEOFF creating non-wire data types.  Suggested by Jonathan
Greenlaw.
(verilog-auto-insert-lisp, verilog-delete-to-paren)
(verilog-forward-sexp-cmt, verilog-forward-sexp-ign-cmt)
(verilog-inject-sense, verilog-read-inst-pins)
(verilog-read-sub-decls, verilog-read-sub-decls-line): Fix
mismatching parenthesis inside commented out code when deleting
AUTOINST, bug383. Reported by Jonathan Greenlaw.
(verilog-auto-ascii-enum): Fix AUTOASCIIENUM one-hot with
non-numeric vector width.  Reported by Alex Reed.
(verilog-auto-ascii-enum): Add "onehot" option to work around not
detecting signals with parameter widths. Reported by Alex Reed.
(verilog-auto-delete-trailing-whitespace): With
`verilog-auto-delete-trailing-whitespace' remove trailing
whitespace in auto expansion, bug371.  Reported by Brad Dobbie.
(verilog-run-hooks, verilog-scan-cache-flush, verilog-syntax-ppss):
Fix verilog-scan-cache corruption when running user AUTO expansion
hooks that call indentation routines.
(verilog-simplify-range-expression): Fix typo ignoring lower case
identifiers.
(verilog-delete-auto): Fix delete-autos to also remove user created
automatics, as long as they start with AUTO.
(verilog-batch-diff-auto, verilog-diff-auto)
(verilog-diff-function): Add `verilog-diff-auto' and bind to
"C-c?"  to report differences in AUTO expansion, ignoring spaces.
(verilog-backward-syntactic-ws-quick, verilog-beg-of-defun-quick)
(verilog-in-paren-quick, verilog-re-search-backward-quick)
(verilog-re-search-forward-quick, verilog-syntax-ppss): Fix
calling `syntax-ppss' when inside auto expansions as the ppss hook
is disabled and its cache will get corrupt, causing AUTOS not to
expand.  Instead use only -quick functions.
(verilog-scan-region): Fix scanning over escaped quotes.
(verilog-inside-comment-or-string-p, verilog-inside-comment-p)
(verilog-re-search-backward-quick)
(verilog-re-search-forward-quick, verilog-scan): verilog-scan and
related functions now ignore strings, to fix misparsing of strings
with magic comments embedded in them.
(verilog-read-auto-template): Fix
'verilog-auto-inst-template-numbers' with extra newline before (.
Reported by Brad Dobbie.
(verilog-read-auto-template): Fix
'verilog-auto-inst-template-numbers' with comments.  Reported by
Brad Dobbie.
(verilog-auto-inst, verilog-auto-inst-param)
(verilog-auto-inst-sort): Add 'verilog-auto-inst-sort' to reduce
merge conflicts with AUTOINST, bug358.  Reported by Brad Dobbie.
(verilog-auto-inst-template-numbers): Add 'lhs' policy for
debugging templates without merge conflicts, bug357.  Reported by
Brad Dobbie.
(verilog-read-auto-template): Fix
verilog-auto-inst-template-numbers with multiple templates.
Reported by Brad Dobbie.
(verilog-define-abbrev): Fix verilog-mode abbrevs to be system
abbrevs so user won't be asked to save.
(verilog-read-auto-lisp-present): Fix to start at beginning of
buffer in case called outside of verilog-auto.
(verilog-simplify-range-expression): Fix AUTOWIRE expanding "X-1+1"
to "X-2".  Reported by Matthew Myers.
(verilog-auto, verilog-auto-inout-in): Add AUTOINOUTIN for creating
all inputs from module templates.  Reported by Leith Johnson.
(verilog-module-inside-filename-p): Fix locating programs as with
modules.
(verilog-auto-inst-port): Fix vl-width expressions when using
verilog-auto-inst-param-value, bug331.  Reported by Julian Gorfajn.
(verilog-decls-get-regs, verilog-decls-get-signals,
verilog-decls-get-vars, verilog-decls-get-wires, verilog-decls-new,
verilog-modi-cache-add-vars, verilog-modi-cache-add-wires,
verilog-read-decls): Combine reg and wire structures into one var
structure to represent SystemVerilog concepts.
(verilog-auto-ascii-enum, verilog-auto-logic, verilog-auto-reg)
(verilog-auto-reg-input, verilog-auto-tieoff, verilog-auto-wire)
(verilog-auto-wire-type, verilog-insert-definition): Add
verilog-auto-wire-type and AUTOLOGIC to support using
SystemVerilog "logic" keyword instead of "wire"/"reg".
(verilog-auto-reg-input, verilog-decls-get-signals): Fix AUTOWIRE
to declares outputs that also have assignments (presumably in an
ifdef or generate if so there's not a driver conflict).  Reported
by Matthew Myers.
(verilog-auto-declare-nettype, verilog-insert-definition): Add
verilog-auto-declare-nettype to fix declarations using
`default_nettype none.  Reported by Julian Gorfajn.
(verilog-read-always-signals-recurse, verilog-read-decls)
(verilog-read-sub-decls-gate): Fix infinite loop with (*) and
malformed end statement, bug325.  Reported by Joshua Wise and
Andrew Drake.
(verilog-auto-star-safe, verilog-delete-auto-star-implicit)
(verilog-inst-comment-re): Fix not deleting Interfaced comment
when expanding .* in interfaces, bug320.  Reported by Pierre-David
Pfister.
(verilog-read-module-name): Fix import statements between module
name and open parenthesis, bug317.  Reported by Pierre-David
Pfister.
(verilog-simplify-range-expression): Fix simplification of
multiplications inside AUTOWIRE connections, bug303.
(verilog-auto-inst-port): Support parameter expansion in
multidimensional arrays.
(verilog-read-decls): Fix AUTOREG etc looking for "endproperty"
after "assert property".  Reported by Julian Gorfajn.
(verilog-simplify-range-expression): Fix "couldn't merge" errors
with multiplication, bug303.
(verilog-read-decls): Fix parsing of unsigned data types, bug302.

12 years agoRegenerate ldefs-boot.el
Chong Yidong [Wed, 30 Nov 2011 17:38:41 +0000 (01:38 +0800)]
Regenerate ldefs-boot.el

12 years agoREALLY fix bug #10164 with crashes when linum-mode is turned on.
Eli Zaretskii [Wed, 30 Nov 2011 16:50:38 +0000 (18:50 +0200)]
REALLY fix bug #10164 with crashes when linum-mode is turned on.

 src/dispnew.c (adjust_glyph_matrix): Remove the assertion that
 verifies glyph row's hash code--we have just reallocated the
 glyphs, so their contents can be complete garbage.

12 years agoFix typos.
Juanma Barranquero [Wed, 30 Nov 2011 16:33:05 +0000 (17:33 +0100)]
Fix typos.

12 years agoBump version to 24.0.92.
Chong Yidong [Wed, 30 Nov 2011 16:23:05 +0000 (00:23 +0800)]
Bump version to 24.0.92.
Regenerate AUTHORS and ldefs-boot.el.

12 years agoFix typo in comment.
Chong Yidong [Wed, 30 Nov 2011 15:43:33 +0000 (23:43 +0800)]
Fix typo in comment.

12 years ago* lisp/gnus/message.el (message-pop-to-buffer): Revert 2011-09-11 change.
Stefan Monnier [Wed, 30 Nov 2011 14:52:08 +0000 (09:52 -0500)]
* lisp/gnus/message.el (message-pop-to-buffer): Revert 2011-09-11 change.

12 years agosrc/dispnew.c (adjust_glyph_matrix) [XASSERTS]: Add missing check.
Juanma Barranquero [Wed, 30 Nov 2011 12:58:42 +0000 (13:58 +0100)]
src/dispnew.c (adjust_glyph_matrix) [XASSERTS]: Add missing check.

12 years agoFix bug #10164 with assertion violations in linum-mode.
Eli Zaretskii [Wed, 30 Nov 2011 12:25:09 +0000 (07:25 -0500)]
Fix bug #10164 with assertion violations in linum-mode.

 src/dispnew.c (adjust_glyph_matrix) [XASSERTS]: Ensure ROW's
 attributes are tested _before_ calling verify_row_hash, to protect
 against GCC re-ordering of the tests.

12 years ago* emacsclient.c (main): Condition last change on WINDOWSNT (Bug#10155).
Chong Yidong [Wed, 30 Nov 2011 08:13:05 +0000 (16:13 +0800)]
* emacsclient.c (main): Condition last change on WINDOWSNT (Bug#10155).

12 years agognus-art.el (gnus-article-browse-html-parts): Fix previous commit.
Katsumi Yamaoka [Wed, 30 Nov 2011 06:05:47 +0000 (06:05 +0000)]
gnus-art.el (gnus-article-browse-html-parts): Fix previous commit.

12 years agoFix gdb-mi.el bug#9853, bug#9858, and bug#9878.
Ken Brown [Wed, 30 Nov 2011 03:18:33 +0000 (22:18 -0500)]
Fix gdb-mi.el bug#9853, bug#9858, and bug#9878.

* lisp/progmodes/gdb-mi.el (gdb-version): Remove defvar.
(gdb-supports-non-stop): New defvar, replacing `gdb-version'.
(gdb-gud-context-command, gdb-non-stop-handler)
(gdb-current-context-command, gdb-stopped): Use it.
(gdb-init-1): Enable pretty printing here.
(gdb-non-stop-handler): Don't enable pretty-printing here.  Check
to see if the target supports non-stop mode; if not, turn off
non-stop mode.  Use the following.
(gdb-check-target-async): New defun.
(gud-watch, gdb-stopped): Fix whitespace.
(gdb-get-source-file): Don't try to display the source file if
`gdb-main-file' is nil.

12 years agognus-art.el (gnus-article-browse-html-parts): Convert link file names for Cygwin.
Katsumi Yamaoka [Wed, 30 Nov 2011 01:07:46 +0000 (01:07 +0000)]
gnus-art.el (gnus-article-browse-html-parts): Convert link file names for Cygwin.

12 years ago* lisp/align.el: Try to generate fewer markers.
Stefan Monnier [Tue, 29 Nov 2011 20:21:28 +0000 (15:21 -0500)]
* lisp/align.el: Try to generate fewer markers.
(align--set-marker): New macro.
(align-region): Use it.

Fixes: debbugs:10047

12 years agoUpdate verilog-mode.el from master.
Wilson Snyder [Tue, 29 Nov 2011 20:11:23 +0000 (13:11 -0700)]
Update verilog-mode.el from master.

* progmodes/verilog-mode.el (verilog-pretty-expr): Rework
verilog-pretty-expr to handle new assignment operators in system
verilog, such as += *= and the like.
(verilog-assignment-operator-re): Regular expression to find the
assigment operator in a verilog assignment.
(verilog-assignment-operation-re): Regular expression to find an
assignment statement for pretty-expr.
(verilog-in-attribute-p): Query returns true if point is in an
attribute context; used to skip these for expression line up from
pretty-expr.
(verilog-in-parameter-p): Query returns true if point is in an
parameter definition context; used to skip these for expression
line up from pretty-expr.
(verilog-in-parenthesis-p): Query returns true if point is in a
parenthetical expression, specifically ( ) but not [ ] or { };
used by pretty-expr.
(verilog-just-one-space): If there is no space, don't add one.
(verilog-get-lineup-indent-2): Specifically skip just attribute
contexts for expression lineup, rather than skipping all
parenthetical expressions.
(verilog-calculate-indent): Fix comment, and fix indent.
(verilog-do-indent): Indent declarations in lists (suggested by
Joachim Lechner).
(verilog-mode-abbrev-table): Populate abbrev mode with the various
skeleton items.
(verilog-sk-ovm-class): Add skeleton for OVM classes (reported
by Alain Mellan).

29  Wilson Snyder  <wsnyder@wsnyder.org>

* verilog-mode.el (verilog-read-defines): Fix reading parameters
with embedded comments.  Reported by Ray Stevens.
(verilog-calc-1, verilog-fork-wait-re) (verilog-forward-sexp,
verilog-wait-fork-re): Fix indentation of "wait fork", bug407.
Reported by Tim Holt.
(verilog-auto): Fix AUTOing a upper module then AUTOing module
instantiated by upper module causing wrong expansion until AUTOed a
second time.  Reported by K C Buckenmaier.
(verilog-diff-auto): Fix showing .* as a difference when
`verilog-auto-star-save' off.  Reported by Dan Dever.
(verilog-auto-reset, verilog-read-always-signals)
(verilog-auto-reset-blocking-in-non): Fix AUTORESET including
temporary signals in reset list if
verilog-auto-reset-blocking-in-non is nil, and match assignment
style to each signal's assignment type, bug381.  Reported by
Thomas Esposito.
(verilog-sk-uvm-class, verilog-uvm-begin-re, verilog-uvm-end-re)
(verilog-uvm-statement-re): Support UVM indentation and
highlighting, with old OVM keywords only.
(verilog-auto-tieoff, verilog-auto-tieoff-declaration): Support
AUTOTIEOFF creating non-wire data types.  Suggested by Jonathan
Greenlaw.
(verilog-auto-insert-lisp, verilog-delete-to-paren)
(verilog-forward-sexp-cmt, verilog-forward-sexp-ign-cmt)
(verilog-inject-sense, verilog-read-inst-pins)
(verilog-read-sub-decls, verilog-read-sub-decls-line): Fix
mismatching parenthesis inside commented out code when deleting
AUTOINST, bug383. Reported by Jonathan Greenlaw.
(verilog-auto-ascii-enum): Fix AUTOASCIIENUM one-hot with
non-numeric vector width.  Reported by Alex Reed.
(verilog-auto-ascii-enum): Add "onehot" option to work around not
detecting signals with parameter widths. Reported by Alex Reed.
(verilog-auto-delete-trailing-whitespace): With
`verilog-auto-delete-trailing-whitespace' remove trailing
whitespace in auto expansion, bug371.  Reported by Brad Dobbie.
(verilog-run-hooks, verilog-scan-cache-flush, verilog-syntax-ppss):
Fix verilog-scan-cache corruption when running user AUTO expansion
hooks that call indentation routines.
(verilog-simplify-range-expression): Fix typo ignoring lower case
identifiers.
(verilog-delete-auto): Fix delete-autos to also remove user created
automatics, as long as they start with AUTO.
(verilog-batch-diff-auto, verilog-diff-auto)
(verilog-diff-function): Add `verilog-diff-auto' and bind to
"C-c?"  to report differences in AUTO expansion, ignoring spaces.
(verilog-backward-syntactic-ws-quick, verilog-beg-of-defun-quick)
(verilog-in-paren-quick, verilog-re-search-backward-quick)
(verilog-re-search-forward-quick, verilog-syntax-ppss): Fix
calling `syntax-ppss' when inside auto expansions as the ppss hook
is disabled and its cache will get corrupt, causing AUTOS not to
expand.  Instead use only -quick functions.
(verilog-scan-region): Fix scanning over escaped quotes.
(verilog-inside-comment-or-string-p, verilog-inside-comment-p)
(verilog-re-search-backward-quick)
(verilog-re-search-forward-quick, verilog-scan): verilog-scan and
related functions now ignore strings, to fix misparsing of strings
with magic comments embedded in them.
(verilog-read-auto-template): Fix
'verilog-auto-inst-template-numbers' with extra newline before (.
Reported by Brad Dobbie.
(verilog-read-auto-template): Fix
'verilog-auto-inst-template-numbers' with comments.  Reported by
Brad Dobbie.
(verilog-auto-inst, verilog-auto-inst-param)
(verilog-auto-inst-sort): Add 'verilog-auto-inst-sort' to reduce
merge conflicts with AUTOINST, bug358.  Reported by Brad Dobbie.
(verilog-auto-inst-template-numbers): Add 'lhs' policy for
debugging templates without merge conflicts, bug357.  Reported by
Brad Dobbie.
(verilog-read-auto-template): Fix
verilog-auto-inst-template-numbers with multiple templates.
Reported by Brad Dobbie.
(verilog-define-abbrev): Fix verilog-mode abbrevs to be system
abbrevs so user won't be asked to save.
(verilog-read-auto-lisp-present): Fix to start at beginning of
buffer in case called outside of verilog-auto.
(verilog-simplify-range-expression): Fix AUTOWIRE expanding "X-1+1"
to "X-2".  Reported by Matthew Myers.
(verilog-auto, verilog-auto-inout-in): Add AUTOINOUTIN for creating
all inputs from module templates.  Reported by Leith Johnson.
(verilog-module-inside-filename-p): Fix locating programs as with
modules.
(verilog-auto-inst-port): Fix vl-width expressions when using
verilog-auto-inst-param-value, bug331.  Reported by Julian Gorfajn.
(verilog-decls-get-regs, verilog-decls-get-signals,
verilog-decls-get-vars, verilog-decls-get-wires, verilog-decls-new,
verilog-modi-cache-add-vars, verilog-modi-cache-add-wires,
verilog-read-decls): Combine reg and wire structures into one var
structure to represent SystemVerilog concepts.
(verilog-auto-ascii-enum, verilog-auto-logic, verilog-auto-reg)
(verilog-auto-reg-input, verilog-auto-tieoff, verilog-auto-wire)
(verilog-auto-wire-type, verilog-insert-definition): Add
verilog-auto-wire-type and AUTOLOGIC to support using
SystemVerilog "logic" keyword instead of "wire"/"reg".
(verilog-auto-reg-input, verilog-decls-get-signals): Fix AUTOWIRE
to declares outputs that also have assignments (presumably in an
ifdef or generate if so there's not a driver conflict).  Reported
by Matthew Myers.
(verilog-auto-declare-nettype, verilog-insert-definition): Add
verilog-auto-declare-nettype to fix declarations using
`default_nettype none.  Reported by Julian Gorfajn.
(verilog-read-always-signals-recurse, verilog-read-decls)
(verilog-read-sub-decls-gate): Fix infinite loop with (*) and
malformed end statement, bug325.  Reported by Joshua Wise and
Andrew Drake.
(verilog-auto-star-safe, verilog-delete-auto-star-implicit)
(verilog-inst-comment-re): Fix not deleting Interfaced comment
when expanding .* in interfaces, bug320.  Reported by Pierre-David
Pfister.
(verilog-read-module-name): Fix import statements between module
name and open parenthesis, bug317.  Reported by Pierre-David
Pfister.
(verilog-simplify-range-expression): Fix simplification of
multiplications inside AUTOWIRE connections, bug303.
(verilog-auto-inst-port): Support parameter expansion in
multidimensional arrays.
(verilog-read-decls): Fix AUTOREG etc looking for "endproperty"
after "assert property".  Reported by Julian Gorfajn.
(verilog-simplify-range-expression): Fix "couldn't merge" errors
with multiplication, bug303.
(verilog-read-decls): Fix parsing of unsigned data types, bug302.
Reported by Jan Frode Lonnum.

12 years ago* lisp/isearch.el (isearch-yank-x-selection): Deactivate mark.
Stefan Monnier [Tue, 29 Nov 2011 18:39:16 +0000 (13:39 -0500)]
* lisp/isearch.el (isearch-yank-x-selection): Deactivate mark.

Fixes: debbugs:10022

12 years ago* xterm.c (handle_one_xevent): Only set async_visible and friends
Jan Djärv [Tue, 29 Nov 2011 18:08:53 +0000 (19:08 +0100)]
* xterm.c (handle_one_xevent): Only set async_visible and friends
if net_wm_state_hidden_seen is non-zero
(get_current_wm_state):  Set net_wm_state_hidden_seen to 1 if
_NET_WM_STATE_HIDDEN is in NET_WM_STATE.

* xterm.h (struct x_output): net_wm_state_hidden_seen is new.

Fixes: debbugs:10002

12 years agoUpdate doc for behavior of TAB in Text based modes.
Chong Yidong [Tue, 29 Nov 2011 15:55:54 +0000 (23:55 +0800)]
Update doc for behavior of TAB in Text based modes.

* lisp/indent.el (indent-for-tab-command, indent-according-to-mode): Doc fix.
(indent-region): Doc fix.  Switch nested ifs to equivalent cond.

12 years ago* vc/diff-mode.el (diff-find-file-name): Make `read-file-name'
Michael Albinus [Tue, 29 Nov 2011 14:59:24 +0000 (15:59 +0100)]
* vc/diff-mode.el (diff-find-file-name): Make `read-file-name'
aware of remote file names.  (Bug#10124)

12 years ago* frame.el (auto-raise-mode, auto-lower-mode): Doc fix.
Chong Yidong [Tue, 29 Nov 2011 06:07:51 +0000 (14:07 +0800)]
* frame.el (auto-raise-mode, auto-lower-mode): Doc fix.

12 years ago* lisp/files.el (find-file): Don't use force-same-window.
Stefan Monnier [Mon, 28 Nov 2011 19:43:52 +0000 (14:43 -0500)]
* lisp/files.el (find-file): Don't use force-same-window.
* lisp/window.el (switch-to-buffer): Better match Emacs-23 behavior and only
use pop-to-buffer if the selected window can't be used.
(pop-to-buffer-same-window): Use display-buffer--same-window-action.

Fixes: debbugs:10144

12 years agoPrevent Diff Mode from stealing M-z binding.
Eli Zaretskii [Mon, 28 Nov 2011 18:03:11 +0000 (20:03 +0200)]
Prevent Diff Mode from stealing M-z binding.

 lisp/vc/diff-mode.el (diff-mode-map): Don't inherit 'z' => 'M-z' from
 special-mode-map.

12 years agoUpdates to Text chapter of manual.
Chong Yidong [Mon, 28 Nov 2011 15:28:04 +0000 (23:28 +0800)]
Updates to Text chapter of manual.

* doc/emacs/text.texi (Words): Add xref to Position Info.
(Paragraphs): Add xref to Regexps.

12 years agoAuto-commit of generated files.
Glenn Morris [Mon, 28 Nov 2011 11:18:31 +0000 (06:18 -0500)]
Auto-commit of generated files.

12 years agoUpdate Indentation chapter of Emacs manual.
Chong Yidong [Mon, 28 Nov 2011 11:12:00 +0000 (19:12 +0800)]
Update Indentation chapter of Emacs manual.

* indent.texi (Indentation): Rewrite introduction.  Move table to
Indentation Commands node.
(Indentation Commands): Add index entries to table.  Copyedits.
(Tab Stops, Just Spaces): Copyedits.
(Indent Convenience): New node.  Document electric-indent-mode.

* programs.texi (Basic Indent):
* windows.texi (Pop Up Window): Fix kindex entry.

12 years agoerc-dcc: allow SEND commands containing quoted filenames with spaces in them
Julien Danjou [Mon, 28 Nov 2011 09:24:08 +0000 (10:24 +0100)]
erc-dcc: allow SEND commands containing quoted filenames with spaces in them

* erc-dcc.el (erc-dcc-ctcp-query-send-regexp): Updated regexp to
match quoted filenames with spaces inside.
(erc-dcc-handle-ctcp-send): Updated regexp match group numbers,
added processing of escaped quotes and backslashes if filename
itself was in quotes.

12 years agoRemove GCPRO-related macros that exist only to avoid shadowing locals.
Paul Eggert [Mon, 28 Nov 2011 08:20:58 +0000 (00:20 -0800)]
Remove GCPRO-related macros that exist only to avoid shadowing locals.

* lisp.h (GCPRO1_VAR, GCPRO2_VAR, GCPRO3_VAR, GCPRO4_VAR, GCPRO5_VAR)
(GCPRO6_VAR, UNGCPRO_VAR): Remove.  See
<http://lists.gnu.org/archive/html/emacs-diffs/2011-11/msg00265.html>.
All uses changed to use GCPRO1 etc.
(GCPRO1, GCPRO2, GCPRO3, GCPRO4, GCPRO5, GCPRO6, UNGCPRO):
Revert to old implementation (i.e., before 2011-03-11).

12 years ago* emacs-lisp/easy-mmode.el (define-minor-mode): Fix default doc.
Chong Yidong [Mon, 28 Nov 2011 06:26:39 +0000 (14:26 +0800)]
* emacs-lisp/easy-mmode.el (define-minor-mode): Fix default doc.

12 years agoMore updates to Modes chapter of Emacs manual.
Chong Yidong [Mon, 28 Nov 2011 06:24:48 +0000 (14:24 +0800)]
More updates to Modes chapter of Emacs manual.

* doc/emacs/modes.texi (Major Modes): Move major-mode variable doc here from
Choosing Modes.  Document describe-mode.  Document prog-mode-hook
and text-mode-hook.  Add example of using hooks.
(Minor Modes): Document behavior of mode command calls from Lisp.
Note that setting the mode variable using Customize will DTRT.
(Choosing Modes): Add example of setting a minor mode using a
local variable.

12 years agoTruncate scroll runs that copy to where we copied to.
YAMAMOTO Mitsuharu [Mon, 28 Nov 2011 01:07:01 +0000 (10:07 +0900)]
Truncate scroll runs that copy to where we copied to.

* dispnew.c (scrolling_window): Truncate overlaps in copy destination
of scroll runs so as to avoid assigning disabled bogus rows and
unnecessary graphics copy operations.

12 years agoFix MS-Windows build with MSVC compiler.
Eli Zaretskii [Sun, 27 Nov 2011 18:52:53 +0000 (20:52 +0200)]
Fix MS-Windows build with MSVC compiler.

Parts of the changes by Fabrice Popineau  <fabrice.popineau@supelec.fr>.

 lib-src/makefile.w32-in (LOCAL_FLAGS): Add $(EMACS_EXTRA_C_FLAGS).
 lib-src/emacsclient.c (main) <environ>: Remove declaration, already
 pulled in by unistd.h on Posix hosts and stdlib.h on MS-Windows.
 nt/inc/stdint.h (uint32_t, uint64_t) [_WIN64]: New typedefs.
 (UINT64_MAX) [_WIN64]: Fix definition.
 (uintmax_t, intmax_t): Fix definitions.
 nt/inc/inttypes.h (strtoumax, strtoimax) [!__MINGW32__]: Provide
 correct definitions.
 nt/config.nt (HAVE_DECL_STRTOLL): Define.
 (va_copy) [_WIN64]: Provide a better definition.
 src/s/ms-w32.h (utimbuf) [_MSC_VER]: Don't define.
 (snprintf) [_MSC_VER]: Redirect to _snprintf.
 (strtoll) [_MSC_VER]: Redirect to _strtoi64.
 (malloc, free, realloc, calloc): Redirect to e_* only when
 compiling Emacs.
 src/lisp.h (GCTYPEBITS): Move before first use.
 (ALIGN_GCTYPEBITS) [_MSC_VER]: Define.
 (DECL_ALIGN) [_MSC_VER]: Use it, as MSVC doesn't like bit ops in
 this macro definition.
 (tzname): Redirect to _tzname for all values of _MSC_VER.

Fixes: debbugs:9960

12 years agoWork around an Ubuntu 11.10 bug where Emacs loops forever when started.
Jan Djärv [Sun, 27 Nov 2011 18:33:17 +0000 (19:33 +0100)]
Work around an Ubuntu 11.10 bug where Emacs loops forever when started.

* configure.in: Check for gtk_window_set_has_resize_grip.

* src/gtkutil.c (xg_create_frame_widgets): Call
gtk_window_set_has_resize_grip (FALSE) if that function is
present with Gtk+ 2.0.

12 years agoSpelling fixes (mostly "inactive" vs "deactivate").
Paul Eggert [Sun, 27 Nov 2011 18:17:40 +0000 (10:17 -0800)]
Spelling fixes (mostly "inactive" vs "deactivate").

12 years agoprogmodes/gdb-mi.el (gdb-init-1): Condition execution of gdb-get-source-file-list...
Nick Roberts [Sun, 27 Nov 2011 08:33:25 +0000 (21:33 +1300)]
progmodes/gdb-mi.el (gdb-init-1): Condition execution of gdb-get-source-file-list on gdb-create-source-file-list.

12 years agoMore updates for the Frames chapter in Emacs manual.
Chong Yidong [Sun, 27 Nov 2011 08:22:37 +0000 (16:22 +0800)]
More updates for the Frames chapter in Emacs manual.

* doc/emacs/frames.texi (Creating Frames): Move frame parameter example to
Frame Parameters node.
(Frame Commands): C-x 5 o does not warp the mouse by default.
(Fonts): Add more GTK-style properties; also, they should be
capitalized.
(Special Buffer Frames): Node deleted; special-display is on the
way out.
(Frame Parameters): Example moved here from Creating Frames.
Clarify that default-frame-alist affects the initial frame too.
Delete auto-raise-mode and auto-lower-mode.
(Wheeled Mice): Node deleted.  Content moved to Mouse Commands.
(Dialog Boxes): Delete x-gtk-use-old-file-dialog.

* doc/emacs/windows.texi (Window Choice): Add xref to Lisp manual for
special-display-*.

12 years agoSpelling fixes.
Paul Eggert [Sun, 27 Nov 2011 04:43:11 +0000 (20:43 -0800)]
Spelling fixes.

12 years ago* fileio.c (Finsert_file_contents): Undo previous change.
Paul Eggert [Sat, 26 Nov 2011 21:40:41 +0000 (13:40 -0800)]
* fileio.c (Finsert_file_contents): Undo previous change.

See <http://lists.gnu.org/archive/html/emacs-diffs/2011-11/msg00265.html>.

12 years agoClarify documentation of wrap-prefix and line-prefix.
Eli Zaretskii [Sat, 26 Nov 2011 14:07:22 +0000 (16:07 +0200)]
Clarify documentation of wrap-prefix and line-prefix.

 doc/lispref/display.texi (Truncation):
 doc/lispref/text.texi (Special Properties): Describe what a stretch-glyph is
 instead of using that term without explanation.  Make the
 cross-references more accurate.

12 years agoUpdate and enhance documentation of display of control characters.
Eli Zaretskii [Sat, 26 Nov 2011 13:51:58 +0000 (15:51 +0200)]
Update and enhance documentation of display of control characters.

 doc/emacs/display.texi (Text Display): Update the description,
 cross-references, and indexing related to display of control
 characters and raw bytes.
 doc/lispref/display.texi (Usual Display): Update the description,
 cross-references, and indexing related to display of control
 characters and raw bytes.

12 years agosrc/dispnew.c (update_window): Fix outdated commentary.
Eli Zaretskii [Sat, 26 Nov 2011 13:11:03 +0000 (15:11 +0200)]
src/dispnew.c (update_window): Fix outdated commentary.

12 years ago* grammars/bovine-grammar.el (bovine--grammar-newstyle-unquote):
Andreas Schwab [Sat, 26 Nov 2011 09:10:36 +0000 (10:10 +0100)]
* grammars/bovine-grammar.el (bovine--grammar-newstyle-unquote):
Avoid warning about old-style backquote.

12 years ago* grammars/bovine-grammar.el (auto-mode-alist): Change `$' to `\''
Andreas Schwab [Sat, 26 Nov 2011 09:10:36 +0000 (10:10 +0100)]
* grammars/bovine-grammar.el (auto-mode-alist): Change `$' to `\''
in regexp.
(bovine-make-parsers): Likewise.  Quote `.'.

* grammars/wisent-grammar.el (auto-mode-alist): Change `$' to `\''
in regexp.
(wisent-make-parsers): Likewise.  Quote `.'.

12 years agoTweak whitespace-newline color for 16-color displays.
Eli Zaretskii [Sat, 26 Nov 2011 08:50:48 +0000 (10:50 +0200)]
Tweak whitespace-newline color for 16-color displays.

 lisp/whitespace.el (whitespace-newline): Use a different foreground
 color for 16-color light-background displays.

12 years agoSpelling fixes.
Paul Eggert [Sat, 26 Nov 2011 08:26:37 +0000 (00:26 -0800)]
Spelling fixes.

12 years agoRename locals to avoid shadowing.
Paul Eggert [Sat, 26 Nov 2011 07:42:44 +0000 (23:42 -0800)]
Rename locals to avoid shadowing.

* fileio.c (Finsert_file_contents):
Rename inner 'gcpro1' to 'inner_gcpro1' to avoid shadowing.
* process.c (wait_reading_process_output):
Rename inner 'proc' to 'p' to avoid shadowing.
Indent for consistency with usual Emacs style.

12 years agoAdd grammar files for grammar-wy.el and wrt-wy.el.
Chong Yidong [Sat, 26 Nov 2011 06:28:10 +0000 (14:28 +0800)]
Add grammar files for grammar-wy.el and wrt-wy.el.
Regenerate the relevant CEDET parsers.

* admin/grammars/bovine-grammar.el:
* admin/grammars/wisent-grammar.el: Add scripts for generating the parsers.

12 years agoFix bug #10119 with C-e and whitespace-mode.
Eli Zaretskii [Fri, 25 Nov 2011 19:52:46 +0000 (21:52 +0200)]
Fix bug #10119 with C-e and whitespace-mode.

 src/xdisp.c (start_display): Don't move to the next line if the display
 should start at a newline that is part of a display vector or an overlay
 string.

12 years agoFixes and updates for Frames chapter in Emacs manual.
Chong Yidong [Fri, 25 Nov 2011 15:43:34 +0000 (23:43 +0800)]
Fixes and updates for Frames chapter in Emacs manual.

* doc/emacs/files.texi (Visiting): `C-x 5 f' works on ttys too.

* doc/emacs/frames.texi (Frames): Rewrite introduction.
(Mouse Commands): Default for mouse-drag-copy-region is now t.
The mouse-save-then-kill-command does not copy to kill ring by
default.  Behavior of DEL is not special to mouse commands now.
(Mouse References): Document mouse-1-click-follows-link more
thoroughly.
(Menu Mouse Clicks): Move footnote to the main text and add xref
to Init Rebinding node.
(Mode Line Mouse): Mouse-3 on the mode-line does not bury buffer.

* lisp/window.el (display-buffer--special-action): Minor doc fix.

12 years agoFix a thinko in 2011-11-25T11:32:14Z!eliz@gnu.org.
Eli Zaretskii [Fri, 25 Nov 2011 15:22:30 +0000 (17:22 +0200)]
Fix a thinko in 2011-11-25T11:32:14Z!eliz@gnu.org.

12 years agoFix description of window-combination-limit.
Martin Rudalics [Fri, 25 Nov 2011 14:24:05 +0000 (15:24 +0100)]
Fix description of window-combination-limit.

* windows.texi (Splitting Windows): Fix description of
window-combination-limit.  Suggested by Eli Zaretskii.

12 years agoFix typos.
Juanma Barranquero [Fri, 25 Nov 2011 13:26:30 +0000 (14:26 +0100)]
Fix typos.

12 years agont/INSTALL: Elaborate on debugging fatal errors.
Eli Zaretskii [Fri, 25 Nov 2011 12:17:33 +0000 (14:17 +0200)]
nt/INSTALL: Elaborate on debugging fatal errors.

12 years agoFix bug #10105 with Isearch triggered scrolling under scroll-conservatively.
Eli Zaretskii [Fri, 25 Nov 2011 11:32:14 +0000 (13:32 +0200)]
Fix bug #10105 with Isearch triggered scrolling under scroll-conservatively.

 src/xdisp.c (redisplay_window): If cursor row is not fully visible
 after recentering, and scroll-conservatively is set to a large
 number, scroll window by a few more lines to make the cursor fully
 visible and out of scroll-margin.

12 years agoSpelling fixes.
Paul Eggert [Fri, 25 Nov 2011 07:14:48 +0000 (23:14 -0800)]
Spelling fixes.

12 years ago* src/image.c (imagemagick_load_image): Move `MagickSetResolution' down
Juri Linkov [Thu, 24 Nov 2011 19:02:39 +0000 (21:02 +0200)]
* src/image.c (imagemagick_load_image): Move `MagickSetResolution' down
after the `MagickPingImage' call.

Fixes: debbugs:10112

12 years agoAuto-commit of loaddefs files.
Glenn Morris [Thu, 24 Nov 2011 11:24:59 +0000 (06:24 -0500)]
Auto-commit of loaddefs files.

12 years agoAuto-commit of generated files.
Glenn Morris [Thu, 24 Nov 2011 11:19:06 +0000 (06:19 -0500)]
Auto-commit of generated files.

12 years agoGive some autoloaded things doc-strings.
Glenn Morris [Thu, 24 Nov 2011 08:21:03 +0000 (00:21 -0800)]
Give some autoloaded things doc-strings.

* lisp/lpr.el (lpr-windows-system, lpr-lp-system):
* lisp/mail/binhex.el (binhex-begin-line):
* lisp/progmodes/grep.el (grep-history, grep-find-history):
* lisp/textmodes/flyspell.el:
* lisp/vc/pcvs-defs.el (cvs-global-menu):
* lisp/vc/vc-bzr.el (vc-bzr-admin-checkout-format-file):
* lisp/vc/vc-mtn.el (vc-mtn-admin-dir, vc-mtn-admin-format):
* lisp/vc/vc-cvs.el (vc-cvs-registered): Give them basic doc-strings.

12 years agoFix case of "GnuTLS" in docs.
Glenn Morris [Thu, 24 Nov 2011 07:44:51 +0000 (23:44 -0800)]
Fix case of "GnuTLS" in docs.

* doc/misc/gnus.texi, doc/misc/smtpmail.texi:
* etc/NEWS:
* lisp/gnus/starttls.el, lisp/net/tls.el: Fix case of "GnuTLS".

12 years ago* lib-src/make-docfile.c (scan_lisp_file): Treat defcustom like defvar.
Glenn Morris [Thu, 24 Nov 2011 07:32:40 +0000 (23:32 -0800)]
* lib-src/make-docfile.c (scan_lisp_file): Treat defcustom like defvar.

* lisp/paths.el (rmail-file-name): Format doc-string for make-docfile.

12 years ago* lisp/version.el (emacs-build-system): Give it a doc-string.
Glenn Morris [Thu, 24 Nov 2011 07:24:31 +0000 (23:24 -0800)]
* lisp/version.el (emacs-build-system): Give it a doc-string.

Consolidate local variables at the end of the file.

12 years ago* lisp/view.el (view-buffer): Revert 2011-07-19T15:01:49Z!larsi@gnus.org from 2011...
Juri Linkov [Thu, 24 Nov 2011 07:09:56 +0000 (09:09 +0200)]
* lisp/view.el (view-buffer): Revert 2011-07-19T15:01:49Z!larsi@gnus.org from 2011-07-19.

Fixes: debbugs:8615

12 years agoMake rmail-mime toggle headers of non-mime messages.
Glenn Morris [Thu, 24 Nov 2011 03:59:09 +0000 (19:59 -0800)]
Make rmail-mime toggle headers of non-mime messages.

* lisp/mail/rmailmm.el (rmail-mime): When rmail-enable-mime is non-nil,
if called on a non-mime message just toggle the headers.

Fixes: debbugs:8006

12 years agodoc/lispintro/makefile.w32-in, doc/misc/makefile.w32-in: Update dependencies.
Juanma Barranquero [Thu, 24 Nov 2011 02:22:09 +0000 (03:22 +0100)]
doc/lispintro/makefile.w32-in, doc/misc/makefile.w32-in: Update dependencies.

12 years agoFix typos.
Juanma Barranquero [Thu, 24 Nov 2011 01:58:14 +0000 (02:58 +0100)]
Fix typos.

12 years agomail-source.el (mail-source-fetch-maildir): Don't expect the return value of `delete...
Adam W [Thu, 24 Nov 2011 00:15:19 +0000 (00:15 +0000)]
mail-source.el (mail-source-fetch-maildir): Don't expect the return value of `delete-file', that returns nil for a local file but returns t for a remote file using ssh.

12 years ago* rmail.texi (Rmail Output): Mention rmail-automatic-folder-directives.
Glenn Morris [Thu, 24 Nov 2011 00:12:46 +0000 (19:12 -0500)]
* rmail.texi (Rmail Output): Mention rmail-automatic-folder-directives.

12 years ago* rfn-eshadow.el (rfn-eshadow-update-overlay): Let-bind
Michael Albinus [Wed, 23 Nov 2011 20:05:50 +0000 (21:05 +0100)]
* rfn-eshadow.el (rfn-eshadow-update-overlay): Let-bind
`non-essential' to t, in order to avoid remote connections.

12 years agoFix "make autoloads" on MS-Windows.
Eli Zaretskii [Wed, 23 Nov 2011 18:39:38 +0000 (20:39 +0200)]
Fix "make autoloads" on MS-Windows.

 lisp/emacs-lisp/autoload.el (autoload-generate-file-autoloads): On
 MS-DOS and MS-Windows, compare with loaddefs.el case-insensitively.

12 years agoUpdate Coordinates and Windows node of Lisp manual.
Chong Yidong [Wed, 23 Nov 2011 16:25:11 +0000 (00:25 +0800)]
Update Coordinates and Windows node of Lisp manual.

* doc/lispref/windows.texi (Window Sizes): Move window-top-line,
window-left-column, and window-*-pixel-edges to Coordinates and
Windows node.
(Coordinates and Windows): Restore window-edges doc.

12 years ago* src/window.c (Fcoordinates_in_window_p): Accept only live windows.
Chong Yidong [Wed, 23 Nov 2011 16:09:08 +0000 (00:09 +0800)]
* src/window.c (Fcoordinates_in_window_p): Accept only live windows.

12 years agoAuto-commit of loaddefs files.
Glenn Morris [Wed, 23 Nov 2011 11:20:43 +0000 (06:20 -0500)]
Auto-commit of loaddefs files.

12 years agoIn Fkill_buffer do replace_buffer_in_windows before Fset_buffer. (Bug#10114)
Martin Rudalics [Wed, 23 Nov 2011 09:57:27 +0000 (10:57 +0100)]
In Fkill_buffer do replace_buffer_in_windows before Fset_buffer.  (Bug#10114)

* buffer.c (Fkill_buffer): Run replace_buffer_in_windows before
making another buffer current.  (Bug#10114)

12 years ago* paths.el (rmail-file-name): Reformat the doc-string so that it is picked up.
Glenn Morris [Wed, 23 Nov 2011 08:48:07 +0000 (00:48 -0800)]
* paths.el (rmail-file-name): Reformat the doc-string so that it is picked up.

12 years agoDoc fixes related to rmail-automatic-folder-directives.
Glenn Morris [Wed, 23 Nov 2011 08:29:08 +0000 (00:29 -0800)]
Doc fixes related to rmail-automatic-folder-directives.

* mail/rmail.el (rmail-message-filter, rmail-auto-file): Doc fixes.
(rmail-auto-file): Ignore case in the "special" field names,
as mail-fetch-field does for all others.

12 years ago* lisp/mail/unrmail.el (unrmail): Always add blank line. (tiny change)
Mark Lillibridge [Wed, 23 Nov 2011 07:49:53 +0000 (23:49 -0800)]
* lisp/mail/unrmail.el (unrmail): Always add blank line.  (tiny change)

Fixes: debbugs:7743

12 years agoRmail trivia: give explicit errors for empty folders.
Glenn Morris [Wed, 23 Nov 2011 07:41:17 +0000 (23:41 -0800)]
Rmail trivia: give explicit errors for empty folders.

* mail/rmail.el (rmail-forward):
* mail/rmailkwd.el (rmail-set-label):
* mail/rmailout.el (rmail-output, rmail-output-as-seen)
(rmail-output-body-to-file): Give error if no message.

Fixes: debbugs:10082

12 years ago* lisp/mail/rmail.el (rmail-current-message): Doc fix.
Glenn Morris [Wed, 23 Nov 2011 07:31:39 +0000 (23:31 -0800)]
* lisp/mail/rmail.el (rmail-current-message): Doc fix.

12 years ago* lisp/mail/rmail.el (rmail-message-filter): Mark as obsolete.
Glenn Morris [Wed, 23 Nov 2011 07:17:48 +0000 (23:17 -0800)]
* lisp/mail/rmail.el (rmail-message-filter): Mark as obsolete.

* etc/NEWS: Mention this.

Fixes: debbugs:2624

12 years ago* src/font.c (font_find_for_lface) [HAVE_NS]: Ignore case.
Glenn Morris [Wed, 23 Nov 2011 07:09:27 +0000 (23:09 -0800)]
* src/font.c (font_find_for_lface) [HAVE_NS]: Ignore case.

Fixes: debbugs:2526

12 years agoSpelling fixes.
Paul Eggert [Wed, 23 Nov 2011 07:03:56 +0000 (23:03 -0800)]
Spelling fixes.

12 years ago* xdisp.c (compute_stop_pos): Check validity of end_charpos before using it.
Chong Yidong [Wed, 23 Nov 2011 06:47:09 +0000 (14:47 +0800)]
* xdisp.c (compute_stop_pos): Check validity of end_charpos before using it.

Fixes: debbugs:5984

12 years agoshr.el (shr-table-horizontal-line): Use "?\s" instead of "? " to avoid later breakage.
Lars Magne Ingebrigtsen [Wed, 23 Nov 2011 00:20:17 +0000 (00:20 +0000)]
shr.el (shr-table-horizontal-line): Use "?\s" instead of "? " to avoid later breakage.

12 years ago* etc/NEWS: Mention debug-on-event.
Stefan Monnier [Tue, 22 Nov 2011 21:53:59 +0000 (16:53 -0500)]
* etc/NEWS: Mention debug-on-event.

12 years agoFix bug #10098 with assertion violation during frame resize.
Eli Zaretskii [Tue, 22 Nov 2011 18:00:38 +0000 (20:00 +0200)]
Fix bug #10098 with assertion violation during frame resize.

 src/dispnew.c (adjust_glyph_matrix): Don't verify hash code of mode-
 and header-lines, as they don't have one computed for them.
 src/.gdbinit (prow): Make displayed values more self-explaining.
 Add row's hash code.

12 years ago* lisp/server.el (server-eval-and-print): Allow C-g.
Stefan Monnier [Tue, 22 Nov 2011 15:18:56 +0000 (10:18 -0500)]
* lisp/server.el (server-eval-and-print): Allow C-g.

Fixes: debbugs:6585

12 years agoAuto-commit of loaddefs files.
Glenn Morris [Tue, 22 Nov 2011 11:35:26 +0000 (06:35 -0500)]
Auto-commit of loaddefs files.

12 years agoAuto-commit of generated files.
Glenn Morris [Tue, 22 Nov 2011 11:20:22 +0000 (06:20 -0500)]
Auto-commit of generated files.

12 years agoMake configure print EMACSDATA/DOC.
Glenn Morris [Tue, 22 Nov 2011 08:02:21 +0000 (00:02 -0800)]
Make configure print EMACSDATA/DOC.

* configure.in (EMACSDATA, EMACSDOC): If set, print, since they can
have confusing effects on the build.

12 years agoMove rmailmm test functions to test/ directory.
Glenn Morris [Tue, 22 Nov 2011 02:55:06 +0000 (21:55 -0500)]
Move rmailmm test functions to test/ directory.

* lisp/mail/rmailmm.el (test-rmail-mime-handler)
(test-rmail-mime-bulk-handler)
(test-rmail-mime-multipart-handler): Move tests to test/ directory.

* test/rmailmm.el: New file, split from lisp/mail/rmailmm.el.

12 years agognus-art.el (gnus-article-setup-buffer): Decode group name used for article buffers...
Katsumi Yamaoka [Tue, 22 Nov 2011 02:17:20 +0000 (02:17 +0000)]
gnus-art.el (gnus-article-setup-buffer): Decode group name used for article buffers' name.

12 years ago* Makefile.in (install-arch-dep): Tweak previous change.
Glenn Morris [Tue, 22 Nov 2011 01:56:49 +0000 (20:56 -0500)]
* Makefile.in (install-arch-dep): Tweak previous change.

12 years agoSmall fix for --disable-ns-self-contained builds
Yavor Doganov [Tue, 22 Nov 2011 01:37:45 +0000 (20:37 -0500)]
Small fix for --disable-ns-self-contained builds

Do not install arch-dependent files in the app bundle if
--disable-ns-self-contained is requested.

* configure.in (exec_prefix, libexecdir): Define relative to
ns_appbindir' only if configured for a self-contained app.
* Makefile.in (install-arch-dep): Test for the existence of
libexec in the Emacs.app bundle before executing commands.

Fixes: debbugs:1335